pold500 / gens-rerecording

Automatically exported from code.google.com/p/gens-rerecording
0 stars 0 forks source link

Cheat System Overhaul #69

Open GoogleCodeExporter opened 8 years ago

GoogleCodeExporter commented 8 years ago
Cheat system needs to be overhauled to allow more direct manipulation of
memory by the user.
It should be integrated into both the Ram Search/Watch system and the
current Game Genie/Pro Action Replay cheat application system and include
all the features implied by
http://dl.getdropbox.com/u/75343/ChtDlg_mock.png as well as support for
alternate CPU contexts (Z80, SegaCD m68k, 32X SH2), and bitwise operations.

Original issue reported on code.google.com by Upth...@gmail.com on 24 Mar 2009 at 8:02

GoogleCodeExporter commented 8 years ago
Issue 66 has been merged into this issue.

Original comment by Upth...@gmail.com on 24 Mar 2009 at 8:04

GoogleCodeExporter commented 8 years ago
I approve of this overhaul!

Original comment by reyvgm on 12 Nov 2010 at 7:35