pro711 / sublime-verilog

Verilog Package for Sublime Text 2/3
21 stars 17 forks source link

System verilog highlighting #5

Open elamre opened 6 years ago

elamre commented 6 years ago

With system verilog being used more and more, this might be a useful addition

tc466 commented 6 years ago

Definitely a nice feature to have. For now, there is a separate plugin that provides SystemVerilog support.

Pull requests are always welcome.