pro711 / sublime-verilog

Verilog Package for Sublime Text 2/3
21 stars 17 forks source link

single quote in .tmLanguage file #9

Closed tgstaples closed 9 months ago

tgstaples commented 9 months ago

In the .tmLanguage file, single quotes are identified as a string. To the best of my knowledge, single quotes are not strings for verilog. I think lines 475-507 should be removed or commented out to ensure correct syntax highlighting. Here is a snapshot...

verilog