pulp-platform / common_cells

Common SystemVerilog components
Other
488 stars 138 forks source link

plru_tree: Assert output is onehot #206

Closed michael-platzer closed 8 months ago

michael-platzer commented 8 months ago

@niwis Another thing that just came to my mind: it might make sense to add an assertion to verify that the output is always onehot.