pulp-platform / pulpissimo

This is the top-level project for the PULPissimo Platform. It instantiates a PULPissimo open-source system with a PULP SoC domain, but no cluster.
Other
382 stars 164 forks source link

edge syntax error while building the RTL simulation platform #37

Closed ido-raz closed 3 years ago

ido-raz commented 5 years ago

Hello,

I am trying to build the simulation environment of the PULPissimo and follow the instruction in the README. When running the make command in the 'Building the RTL simulation platform' section I get the following error when the make get to build the 'tbtools' (the same error happened when the make tries to build the 'tb' component): (Also attached print screen)

  Building tbtools/tbtools
** Warning: (vlog-52) Unknown message number: 13314.
** Error: ../ips/tbtools/dpi_models/dpi_models.sv(361): near "edge": syntax error, unexpected edge
** Error: ../ips/tbtools/dpi_models/dpi_models.sv(394): near "edge": syntax error, unexpected edge
** Error: ../ips/tbtools/dpi_models/dpi_models.sv(440): near "edge": syntax error, unexpected edge
** Error: ../ips/tbtools/tb_driver/tb_driver.sv(128): (vlog-2164) Class or package 'dpi_models' not found.
** Error: ../ips/tbtools/tb_driver/tb_driver.sv(128): Undefined variable: dpi_models.
** Error: ../ips/tbtools/tb_driver/tb_driver.sv(128): near "::": syntax error, unexpected ::
** Error: ../ips/tbtools/tb_driver/tb_driver.sv(131): Illegal declaration after the statement near line '128'.  Declarations must precede statements.  Look for stray semicolons.
make[2]: *** [/data.cc/data/a/home/cc/students/enginer/idoraz/pulp_sim/pulpissimo/sim/modelsim_libs/tbtools_lib/tbtools.vmake] Error 2
make[1]: *** [build] Error 2
make: *** [build] Error 2

edge_syntax_error_print_screen

Please help. Thanks, Ido

ido-raz commented 5 years ago

Hello, Any idea what can be the problem? Thanks

FrancescoConti commented 5 years ago

Hi @ido-raz sorry for not answering earlier -- this came in during the winter holidays. Can you report the output of the vlog -version command?

ido-raz commented 5 years ago

Hi, Thank you for you response. The output of the command vlog -version is: QuestaSim-64 vlog 10.0c Compiler 2011.07 Jul 21 2011

ido-raz commented 5 years ago

Is this info gives any clue about the reason to the error? Thanks

almidi commented 5 years ago

Hello Same problem here vlog -version is: Model Technology ModelSim ALTERA vlog 10.1d Compiler 2012.11 Nov 2 2012

make output:

** Error: ../ips/common_cells/src/lzc.sv(58): near "'": syntax error, unexpected '\'', expecting ';' or ','
** Error: ../ips/common_cells/src/popcount.sv(21): near "localparam": syntax error, unexpected localparam
** Error: ../ips/common_cells/src/rr_arb_tree.sv(137): near "'": syntax error, unexpected '\''
** Error: ../ips/common_cells/src/rr_arb_tree.sv(172): near "'": syntax error, unexpected '\'', expecting ';' or ','
** Error: ../ips/common_cells/src/rr_arb_tree.sv(198): near "'": syntax error, unexpected '\''
** Error: ../ips/common_cells/src/stream_demux.sv(20): near "localparam": syntax error, unexpected localparam
** Error: ../ips/common_cells/src/stream_mux.sv(18): near "localparam": syntax error, unexpected localparam
** Error: ../ips/common_cells/src/id_queue.sv(47): near "localparam": syntax error, unexpected localparam
** Error: ../ips/common_cells/src/stream_arbiter_flushable.sv(77): near "$fatal": syntax error, unexpected SYSTEM_IDENTIFIER
/root/PULP/pulpissimo/sim/vcompile//ips/common_cells.mk:73: recipe for target '/root/PULP/pulpissimo/install/modelsim_libs/common_cells_lib/common_cells_all.vmake' failed
make[3]: *** [/root/PULP/pulpissimo/install/modelsim_libs/common_cells_lib/common_cells_all.vmake] Error 2
/root/PULP/pulpissimo/sim//vcompile/ips.mk:14: recipe for target 'build' failed
make[2]: *** [build] Error 2
Makefile:16: recipe for target 'build' failed
make[1]: *** [build] Error 2
make[1]: Leaving directory '/root/PULP/pulpissimo/sim'
Makefile:44: recipe for target 'build' failed
make: *** [build] Error 2
bluewww commented 5 years ago

Your version of modelsim doesn't support these SystemVerilog features. PULPissimo itself doesn't use most of common_cells though and uses a more conservative set of features from SystemVerilog so I suppose It would be possible to get it running by removing the the offending stuff from common_cells.

I went through all our modelsim/questasim versions and it seems like Questa Sim vsim 10.3e Simulator 2015.02 Feb 23 2015 is at least required for now.