regro / rever

Releaser of Versions
https://regro.github.io/rever-docs/
BSD 3-Clause "New" or "Revised" License
75 stars 33 forks source link

rever check freezed after github login typing #216

Open anki-code opened 4 years ago

anki-code commented 4 years ago

Hi! It's my first try to use rever.

I created rever.xsh:

$ACTIVITIES = ['tag', 'push_tag', 'ghrelease', 'pypi']

$GITHUB_ORG = 'anki-code'
$PROJECT = $GITHUB_REPO = 'xontrib-prompt-bar'

$TAG_REMOTE = 'git@github.com:anki-code/xontrib-prompt-bar.git'
$TAG_TARGET = 'master'

$PYPI_SIGN = False

And when I run rever check:

xonsh$ cd ~/git/xontrib-prompt-bar                                                                                                                                                                            
xonsh$ rever check                                                                                                                                                                                                                          
No checks needed for tag activity
All CLI and import requirements met for push_tag activity
Initialized empty Git repository in ~/git/xontrib-prompt-bar/rever/git-have-push-perm/.git/
~/git/xontrib-prompt-bar/rever/git-have-push-perm ~/git/xontrib-prompt-bar
Switched to a new branch '__rever__'
[__rever__ (root-commit) 19567c4] Checking rever permissions
Username for 'https://github.com': anki-code

# FREEZED HERE

^C^C^C^C^C^C^C^C^C^C
~/git/xontrib-prompt-bar
Exception ignored in: <module 'threading' from '/opt/miniconda/lib/python3.8/threading.py'>
Traceback (most recent call last):
  File "/opt/miniconda/lib/python3.8/threading.py", line 1388, in _shutdown
    lock.acquire()
  File "/opt/miniconda/lib/python3.8/site-packages/xonsh/proc.py", line 793, in _signal_int
    signal.pthread_kill(threading.get_ident(), signal.SIGINT)
  File "/opt/miniconda/lib/python3.8/site-packages/xonsh/proc.py", line 793, in _signal_int
    signal.pthread_kill(threading.get_ident(), signal.SIGINT)
  File "/opt/miniconda/lib/python3.8/site-packages/xonsh/proc.py", line 793, in _signal_int
    signal.pthread_kill(threading.get_ident(), signal.SIGINT)
  [Previous line repeated 495 more times]
  File "/opt/miniconda/lib/python3.8/site-packages/xonsh/proc.py", line 789, in _signal_int
    self.send_signal(signal.CTRL_C_EVENT if ON_WINDOWS else signum)
  File "/opt/miniconda/lib/python3.8/site-packages/xonsh/proc.py", line 969, in send_signal
    rtn = self.proc.send_signal(signal)
RecursionError: maximum recursion depth exceeded

What I'm doing wrong?

anki-code commented 4 years ago

It's definitely relates to https://github.com/xonsh/xonsh/issues/3525 https://github.com/xonsh/xonsh/issues/3555

Workaround:

$ conda create --name rever
$ conda activate rever
$ conda install rever
$ conda install xonsh==0.9.13
$ bash
bash$ rever check
scopatz commented 4 years ago

Hmmm Thanks for reporting