rkrajnc / minimig-mist

Minimig for the MiST board
GNU General Public License v3.0
63 stars 40 forks source link

Add support for YPbPr output. #69

Closed sorgelig closed 7 years ago

sorgelig commented 7 years ago

Hi, please consider to add YPbPr video output. Relevant setting in INI file is already included in latest FW. All my cores are already support YPbPr. Please see the info here: https://github.com/mist-devel/mist-board/wiki/YPbPr_Cable

sorgelig commented 7 years ago

I'm trying to understand why nag screen has positive hsync/vsync pulses instead on normal negative pulses. But code is too confusing. Is it possible to make VSync/HSync pulses negative during nag screen?

sorgelig commented 7 years ago

I'm not really familiar with pull requests. Original idea was just show the changes for you so you could extract needed changes. Binary actually is temporary and i planned to remove it when you would add changes into main branch. Anyway. I will re-do the changes according to your requirements.