rlindsberg / 1331IL-VHDL-Design

Microprocessor AR 4003
GNU General Public License v3.0
0 stars 0 forks source link

Output pins are stuck at VCC or GND #39

Open rlindsberg opened 6 years ago

rlindsberg commented 6 years ago

screen shot 2018-09-29 at 10 39 48

gitgnmn commented 6 years ago

How do I reproduce this and is it still an issue?

rlindsberg commented 6 years ago

Try compile the controller in Quartus and look for warnings..