rlindsberg / 1331IL-VHDL-Design

Microprocessor AR 4003
GNU General Public License v3.0
0 stars 0 forks source link

Warning (21074): Design contains 2 input pin(s) that do not drive logic #48

Open rlindsberg opened 6 years ago

rlindsberg commented 6 years ago

https://github.com/rlindsberg/1331IL-VHDL-Design/blob/1cff60582edd3e6c7ae4318ef5ec4af227e9c5b9/AR4003/AR4003.vhd#L8

Warning (21074): Design contains 2 input pin(s) that do not drive logic Warning (15610): No output dependent on input pin "in_data[4]" Warning (15610): No output dependent on input pin "in_data[5]"

rlindsberg commented 6 years ago

https://github.com/rlindsberg/1331IL-VHDL-Design/blob/1cff60582edd3e6c7ae4318ef5ec4af227e9c5b9/AR4003/controller.vhd#L83-L92

Bug is here. Bit 4 and 5 never used.