samitbasu / rust-hdl

A framework for writing FPGA firmware using the Rust Programming Language
Other
307 stars 16 forks source link

Feature Request: add support for running VHDL/Verilog code alongside/within RustHDL #42

Open parker-research opened 4 months ago

parker-research commented 4 months ago

It would be awesome if this tool was similar to Verilator, in that it allowed running existing Verilog/VHDL code.

Ideally, it would support both:

Huge fan of this project, and wish there was more of it!