schoeberl / chisel-book

Digital Design with Chisel
727 stars 135 forks source link

update Scala to 2.12.11 and Chisel to latest verison #17

Closed itviewer closed 4 years ago

itviewer commented 4 years ago

As a book that keeps pace with the times, we should follow Chisel ’s latest developments. I have not found any problems affecting the compilation after upgrading.

schoeberl commented 4 years ago

Thanks for your proposal. However, I need to update the book itself to a newer version, not just the build file. It will be done.