sensorium / Mozzi

sound synthesis library for Arduino
https://sensorium.github.io/Mozzi/
GNU Lesser General Public License v2.1
1.05k stars 184 forks source link

Scaling for analog reads #239

Closed tfry-git closed 3 months ago

tfry-git commented 3 months ago

As discussed, this adds scaling to analog reads.

github-actions[bot] commented 3 months ago

Memory usage change @ 9efe123dfce5ca43285e9b14b66ff9e40d2da647

Board flash % RAM for global variables %
STMicroelectronics:stm32:GenF1:pnum=BLUEPILL_F103C8 0 - 0 0.0 - 0.0 0 - 0 0.0 - 0.0
arduino:avr:mega 0 - 0 0.0 - 0.0 0 - 0 0.0 - 0.0
arduino:avr:uno 0 - 0 0.0 - 0.0 0 - 0 0.0 - 0.0
arduino:mbed_giga:giga 0 - 0 0.0 - 0.0 0 - 0 0.0 - 0.0
arduino:renesas_uno:minima :small_red_triangle: 0 - +16 0.0 - +0.01 0 - 0 0.0 - 0.0
arduino:samd:adafruit_circuitplayground_m0 :small_red_triangle: 0 - +4 0.0 - 0.0 0 - 0 0.0 - 0.0
esp8266:esp8266:huzzah N/A N/A N/A N/A
rp2040:rp2040:rpipico :small_red_triangle: 0 - +8 0.0 - 0.0 0 - 0 0.0 - 0.0
teensy:avr:teensy36 :green_heart: -48 - 0 -0.0 - 0.0 0 - 0 0.0 - 0.0
teensy:avr:teensy41 N/A N/A N/A N/A
Click for full report table Board|`examples/01.Basics/Control_Gain`
flash|%|`examples/01.Basics/Control_Gain`
RAM for global variables|%|`examples/01.Basics/Sinewave`
flash|%|`examples/01.Basics/Sinewave`
RAM for global variables|%|`examples/01.Basics/Sinewave_HIFI`
flash|%|`examples/01.Basics/Sinewave_HIFI`
RAM for global variables|%|`examples/01.Basics/Skeleton`
flash|%|`examples/01.Basics/Skeleton`
RAM for global variables|%|`examples/01.Basics/Skeleton_Multi`
flash|%|`examples/01.Basics/Skeleton_Multi`
RAM for global variables|%|`examples/01.Basics/Table_Resolution`
flash|%|`examples/01.Basics/Table_Resolution`
RAM for global variables|%|`examples/01.Basics/Vibrato`
flash|%|`examples/01.Basics/Vibrato`
RAM for global variables|%|`examples/01.Basics/Vibrato_Midi_Note`
flash|%|`examples/01.Basics/Vibrato_Midi_Note`
RAM for global variables|%|`examples/02.Control/Control_Echo_Theremin`
flash|%|`examples/02.Control/Control_Echo_Theremin`
RAM for global variables|%|`examples/02.Control/Control_Oscil_Wash`
flash|%|`examples/02.Control/Control_Oscil_Wash`
RAM for global variables|%|`examples/02.Control/Control_Tremelo`
flash|%|`examples/02.Control/Control_Tremelo`
RAM for global variables|%|`examples/02.Control/EventDelay`
flash|%|`examples/02.Control/EventDelay`
RAM for global variables|%|`examples/02.Control/Line_Gliss`
flash|%|`examples/02.Control/Line_Gliss`
RAM for global variables|%|`examples/02.Control/Line_Gliss_Double_32k_HIFI`
flash|%|`examples/02.Control/Line_Gliss_Double_32k_HIFI`
RAM for global variables|%|`examples/02.Control/Metronome_SampleHuffman`
flash|%|`examples/02.Control/Metronome_SampleHuffman`
RAM for global variables|%|`examples/02.Control/Stop_Start`
flash|%|`examples/02.Control/Stop_Start`
RAM for global variables|%|`examples/06.Synthesis/AMsynth`
flash|%|`examples/06.Synthesis/AMsynth`
RAM for global variables|%|`examples/06.Synthesis/AMsynth_HIFI`
flash|%|`examples/06.Synthesis/AMsynth_HIFI`
RAM for global variables|%|`examples/06.Synthesis/Brown_Noise_Realtime`
flash|%|`examples/06.Synthesis/Brown_Noise_Realtime`
RAM for global variables|%|`examples/06.Synthesis/Detuned_Beats_Wash`
flash|%|`examples/06.Synthesis/Detuned_Beats_Wash`
RAM for global variables|%|`examples/06.Synthesis/Difference_Tone`
flash|%|`examples/06.Synthesis/Difference_Tone`
RAM for global variables|%|`examples/06.Synthesis/FMsynth`
flash|%|`examples/06.Synthesis/FMsynth`
RAM for global variables|%|`examples/06.Synthesis/FMsynth_32k_HIFI`
flash|%|`examples/06.Synthesis/FMsynth_32k_HIFI`
RAM for global variables|%|`examples/06.Synthesis/NonAlias_MetaOscil`
flash|%|`examples/06.Synthesis/NonAlias_MetaOscil`
RAM for global variables|%|`examples/06.Synthesis/PDresonant`
flash|%|`examples/06.Synthesis/PDresonant`
RAM for global variables|%|`examples/06.Synthesis/PWM_Phasing`
flash|%|`examples/06.Synthesis/PWM_Phasing`
RAM for global variables|%|`examples/06.Synthesis/WaveFolder`
flash|%|`examples/06.Synthesis/WaveFolder`
RAM for global variables|%|`examples/06.Synthesis/WavePacket_Double`
flash|%|`examples/06.Synthesis/WavePacket_Double`
RAM for global variables|%|`examples/06.Synthesis/WavePacket_Sample`
flash|%|`examples/06.Synthesis/WavePacket_Sample`
RAM for global variables|%|`examples/06.Synthesis/WavePacket_Single`
flash|%|`examples/06.Synthesis/WavePacket_Single`
RAM for global variables|%|`examples/06.Synthesis/Waveshaper`
flash|%|`examples/06.Synthesis/Waveshaper`
RAM for global variables|% -|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|- `STMicroelectronics:stm32:GenF1:pnum=BLUEPILL_F103C8`|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0 `arduino:avr:mega`|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0 `arduino:avr:uno`|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0 `arduino:mbed_giga:giga`|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0 `arduino:renesas_uno:minima`|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|16|0.01|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0 `arduino:samd:adafruit_circuitplayground_m0`|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|4|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|4|0.0|0|0.0|4|0.0|0|0.0|4|0.0|0|0.0|0|0.0|0|0.0 `esp8266:esp8266:huzzah`|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A `rp2040:rp2040:rpipico`|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|8|0.0|0|0.0|8|0.0|0|0.0|0|0.0|0|0.0 `teensy:avr:teensy36`|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|-48|-0.0|0|0.0|-48|-0.0|0|0.0|-48|-0.0|0|0.0|0|0.0|0|0.0 `teensy:avr:teensy41`|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A
Click for full report CSV ``` Board,examples/01.Basics/Control_Gain
flash,%,examples/01.Basics/Control_Gain
RAM for global variables,%,examples/01.Basics/Sinewave
flash,%,examples/01.Basics/Sinewave
RAM for global variables,%,examples/01.Basics/Sinewave_HIFI
flash,%,examples/01.Basics/Sinewave_HIFI
RAM for global variables,%,examples/01.Basics/Skeleton
flash,%,examples/01.Basics/Skeleton
RAM for global variables,%,examples/01.Basics/Skeleton_Multi
flash,%,examples/01.Basics/Skeleton_Multi
RAM for global variables,%,examples/01.Basics/Table_Resolution
flash,%,examples/01.Basics/Table_Resolution
RAM for global variables,%,examples/01.Basics/Vibrato
flash,%,examples/01.Basics/Vibrato
RAM for global variables,%,examples/01.Basics/Vibrato_Midi_Note
flash,%,examples/01.Basics/Vibrato_Midi_Note
RAM for global variables,%,examples/02.Control/Control_Echo_Theremin
flash,%,examples/02.Control/Control_Echo_Theremin
RAM for global variables,%,examples/02.Control/Control_Oscil_Wash
flash,%,examples/02.Control/Control_Oscil_Wash
RAM for global variables,%,examples/02.Control/Control_Tremelo
flash,%,examples/02.Control/Control_Tremelo
RAM for global variables,%,examples/02.Control/EventDelay
flash,%,examples/02.Control/EventDelay
RAM for global variables,%,examples/02.Control/Line_Gliss
flash,%,examples/02.Control/Line_Gliss
RAM for global variables,%,examples/02.Control/Line_Gliss_Double_32k_HIFI
flash,%,examples/02.Control/Line_Gliss_Double_32k_HIFI
RAM for global variables,%,examples/02.Control/Metronome_SampleHuffman
flash,%,examples/02.Control/Metronome_SampleHuffman
RAM for global variables,%,examples/02.Control/Stop_Start
flash,%,examples/02.Control/Stop_Start
RAM for global variables,%,examples/06.Synthesis/AMsynth
flash,%,examples/06.Synthesis/AMsynth
RAM for global variables,%,examples/06.Synthesis/AMsynth_HIFI
flash,%,examples/06.Synthesis/AMsynth_HIFI
RAM for global variables,%,examples/06.Synthesis/Brown_Noise_Realtime
flash,%,examples/06.Synthesis/Brown_Noise_Realtime
RAM for global variables,%,examples/06.Synthesis/Detuned_Beats_Wash
flash,%,examples/06.Synthesis/Detuned_Beats_Wash
RAM for global variables,%,examples/06.Synthesis/Difference_Tone
flash,%,examples/06.Synthesis/Difference_Tone
RAM for global variables,%,examples/06.Synthesis/FMsynth
flash,%,examples/06.Synthesis/FMsynth
RAM for global variables,%,examples/06.Synthesis/FMsynth_32k_HIFI
flash,%,examples/06.Synthesis/FMsynth_32k_HIFI
RAM for global variables,%,examples/06.Synthesis/NonAlias_MetaOscil
flash,%,examples/06.Synthesis/NonAlias_MetaOscil
RAM for global variables,%,examples/06.Synthesis/PDresonant
flash,%,examples/06.Synthesis/PDresonant
RAM for global variables,%,examples/06.Synthesis/PWM_Phasing
flash,%,examples/06.Synthesis/PWM_Phasing
RAM for global variables,%,examples/06.Synthesis/WaveFolder
flash,%,examples/06.Synthesis/WaveFolder
RAM for global variables,%,examples/06.Synthesis/WavePacket_Double
flash,%,examples/06.Synthesis/WavePacket_Double
RAM for global variables,%,examples/06.Synthesis/WavePacket_Sample
flash,%,examples/06.Synthesis/WavePacket_Sample
RAM for global variables,%,examples/06.Synthesis/WavePacket_Single
flash,%,examples/06.Synthesis/WavePacket_Single
RAM for global variables,%,examples/06.Synthesis/Waveshaper
flash,%,examples/06.Synthesis/Waveshaper
RAM for global variables,% STMicroelectronics:stm32:GenF1:pnum=BLUEPILL_F103C8,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0 arduino:avr:mega,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0 arduino:avr:uno,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0 arduino:mbed_giga:giga,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0 arduino:renesas_uno:minima,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,16,0.01,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0 arduino:samd:adafruit_circuitplayground_m0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,4,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,4,0.0,0,0.0,4,0.0,0,0.0,4,0.0,0,0.0,0,0.0,0,0.0 esp8266:esp8266:huzzah,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A rp2040:rp2040:rpipico,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,8,0.0,0,0.0,8,0.0,0,0.0,0,0.0,0,0.0 teensy:avr:teensy36,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,-48,-0.0,0,0.0,-48,-0.0,0,0.0,-48,-0.0,0,0.0,0,0.0,0,0.0 teensy:avr:teensy41,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A ```
github-actions[bot] commented 3 months ago

Memory usage change @ 57f94519b69452d889519613c70b5091a5a71021

Board flash % RAM for global variables %
STMicroelectronics:stm32:GenF1:pnum=BLUEPILL_F103C8 0 - 0 0.0 - 0.0 0 - 0 0.0 - 0.0
arduino:avr:mega 0 - 0 0.0 - 0.0 0 - 0 0.0 - 0.0
arduino:avr:uno 0 - 0 0.0 - 0.0 0 - 0 0.0 - 0.0
arduino:mbed_giga:giga 0 - 0 0.0 - 0.0 0 - 0 0.0 - 0.0
arduino:renesas_uno:minima :small_red_triangle: 0 - +16 0.0 - +0.01 0 - 0 0.0 - 0.0
arduino:samd:adafruit_circuitplayground_m0 :small_red_triangle: 0 - +4 0.0 - 0.0 0 - 0 0.0 - 0.0
esp8266:esp8266:huzzah N/A N/A N/A N/A
rp2040:rp2040:rpipico :small_red_triangle: 0 - +8 0.0 - 0.0 0 - 0 0.0 - 0.0
teensy:avr:teensy36 :green_heart: -48 - 0 -0.0 - 0.0 0 - 0 0.0 - 0.0
teensy:avr:teensy41 N/A N/A N/A N/A
Click for full report table Board|`examples/01.Basics/Control_Gain`
flash|%|`examples/01.Basics/Control_Gain`
RAM for global variables|%|`examples/01.Basics/Sinewave`
flash|%|`examples/01.Basics/Sinewave`
RAM for global variables|%|`examples/01.Basics/Sinewave_HIFI`
flash|%|`examples/01.Basics/Sinewave_HIFI`
RAM for global variables|%|`examples/01.Basics/Skeleton`
flash|%|`examples/01.Basics/Skeleton`
RAM for global variables|%|`examples/01.Basics/Skeleton_Multi`
flash|%|`examples/01.Basics/Skeleton_Multi`
RAM for global variables|%|`examples/01.Basics/Table_Resolution`
flash|%|`examples/01.Basics/Table_Resolution`
RAM for global variables|%|`examples/01.Basics/Vibrato`
flash|%|`examples/01.Basics/Vibrato`
RAM for global variables|%|`examples/01.Basics/Vibrato_Midi_Note`
flash|%|`examples/01.Basics/Vibrato_Midi_Note`
RAM for global variables|%|`examples/02.Control/Control_Echo_Theremin`
flash|%|`examples/02.Control/Control_Echo_Theremin`
RAM for global variables|%|`examples/02.Control/Control_Oscil_Wash`
flash|%|`examples/02.Control/Control_Oscil_Wash`
RAM for global variables|%|`examples/02.Control/Control_Tremelo`
flash|%|`examples/02.Control/Control_Tremelo`
RAM for global variables|%|`examples/02.Control/EventDelay`
flash|%|`examples/02.Control/EventDelay`
RAM for global variables|%|`examples/02.Control/Line_Gliss`
flash|%|`examples/02.Control/Line_Gliss`
RAM for global variables|%|`examples/02.Control/Line_Gliss_Double_32k_HIFI`
flash|%|`examples/02.Control/Line_Gliss_Double_32k_HIFI`
RAM for global variables|%|`examples/02.Control/Metronome_SampleHuffman`
flash|%|`examples/02.Control/Metronome_SampleHuffman`
RAM for global variables|%|`examples/02.Control/Stop_Start`
flash|%|`examples/02.Control/Stop_Start`
RAM for global variables|%|`examples/06.Synthesis/AMsynth`
flash|%|`examples/06.Synthesis/AMsynth`
RAM for global variables|%|`examples/06.Synthesis/AMsynth_HIFI`
flash|%|`examples/06.Synthesis/AMsynth_HIFI`
RAM for global variables|%|`examples/06.Synthesis/Brown_Noise_Realtime`
flash|%|`examples/06.Synthesis/Brown_Noise_Realtime`
RAM for global variables|%|`examples/06.Synthesis/Detuned_Beats_Wash`
flash|%|`examples/06.Synthesis/Detuned_Beats_Wash`
RAM for global variables|%|`examples/06.Synthesis/Difference_Tone`
flash|%|`examples/06.Synthesis/Difference_Tone`
RAM for global variables|%|`examples/06.Synthesis/FMsynth`
flash|%|`examples/06.Synthesis/FMsynth`
RAM for global variables|%|`examples/06.Synthesis/FMsynth_32k_HIFI`
flash|%|`examples/06.Synthesis/FMsynth_32k_HIFI`
RAM for global variables|%|`examples/06.Synthesis/NonAlias_MetaOscil`
flash|%|`examples/06.Synthesis/NonAlias_MetaOscil`
RAM for global variables|%|`examples/06.Synthesis/PDresonant`
flash|%|`examples/06.Synthesis/PDresonant`
RAM for global variables|%|`examples/06.Synthesis/PWM_Phasing`
flash|%|`examples/06.Synthesis/PWM_Phasing`
RAM for global variables|%|`examples/06.Synthesis/WaveFolder`
flash|%|`examples/06.Synthesis/WaveFolder`
RAM for global variables|%|`examples/06.Synthesis/WavePacket_Double`
flash|%|`examples/06.Synthesis/WavePacket_Double`
RAM for global variables|%|`examples/06.Synthesis/WavePacket_Sample`
flash|%|`examples/06.Synthesis/WavePacket_Sample`
RAM for global variables|%|`examples/06.Synthesis/WavePacket_Single`
flash|%|`examples/06.Synthesis/WavePacket_Single`
RAM for global variables|%|`examples/06.Synthesis/Waveshaper`
flash|%|`examples/06.Synthesis/Waveshaper`
RAM for global variables|% -|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|- `STMicroelectronics:stm32:GenF1:pnum=BLUEPILL_F103C8`|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0 `arduino:avr:mega`|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0 `arduino:avr:uno`|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0 `arduino:mbed_giga:giga`|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0 `arduino:renesas_uno:minima`|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|16|0.01|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0 `arduino:samd:adafruit_circuitplayground_m0`|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|4|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|4|0.0|0|0.0|4|0.0|0|0.0|4|0.0|0|0.0|0|0.0|0|0.0 `esp8266:esp8266:huzzah`|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A `rp2040:rp2040:rpipico`|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|8|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|8|0.0|0|0.0|8|0.0|0|0.0|0|0.0|0|0.0 `teensy:avr:teensy36`|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|-48|-0.0|0|0.0|-48|-0.0|0|0.0|-48|-0.0|0|0.0|0|0.0|0|0.0 `teensy:avr:teensy41`|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A
Click for full report CSV ``` Board,examples/01.Basics/Control_Gain
flash,%,examples/01.Basics/Control_Gain
RAM for global variables,%,examples/01.Basics/Sinewave
flash,%,examples/01.Basics/Sinewave
RAM for global variables,%,examples/01.Basics/Sinewave_HIFI
flash,%,examples/01.Basics/Sinewave_HIFI
RAM for global variables,%,examples/01.Basics/Skeleton
flash,%,examples/01.Basics/Skeleton
RAM for global variables,%,examples/01.Basics/Skeleton_Multi
flash,%,examples/01.Basics/Skeleton_Multi
RAM for global variables,%,examples/01.Basics/Table_Resolution
flash,%,examples/01.Basics/Table_Resolution
RAM for global variables,%,examples/01.Basics/Vibrato
flash,%,examples/01.Basics/Vibrato
RAM for global variables,%,examples/01.Basics/Vibrato_Midi_Note
flash,%,examples/01.Basics/Vibrato_Midi_Note
RAM for global variables,%,examples/02.Control/Control_Echo_Theremin
flash,%,examples/02.Control/Control_Echo_Theremin
RAM for global variables,%,examples/02.Control/Control_Oscil_Wash
flash,%,examples/02.Control/Control_Oscil_Wash
RAM for global variables,%,examples/02.Control/Control_Tremelo
flash,%,examples/02.Control/Control_Tremelo
RAM for global variables,%,examples/02.Control/EventDelay
flash,%,examples/02.Control/EventDelay
RAM for global variables,%,examples/02.Control/Line_Gliss
flash,%,examples/02.Control/Line_Gliss
RAM for global variables,%,examples/02.Control/Line_Gliss_Double_32k_HIFI
flash,%,examples/02.Control/Line_Gliss_Double_32k_HIFI
RAM for global variables,%,examples/02.Control/Metronome_SampleHuffman
flash,%,examples/02.Control/Metronome_SampleHuffman
RAM for global variables,%,examples/02.Control/Stop_Start
flash,%,examples/02.Control/Stop_Start
RAM for global variables,%,examples/06.Synthesis/AMsynth
flash,%,examples/06.Synthesis/AMsynth
RAM for global variables,%,examples/06.Synthesis/AMsynth_HIFI
flash,%,examples/06.Synthesis/AMsynth_HIFI
RAM for global variables,%,examples/06.Synthesis/Brown_Noise_Realtime
flash,%,examples/06.Synthesis/Brown_Noise_Realtime
RAM for global variables,%,examples/06.Synthesis/Detuned_Beats_Wash
flash,%,examples/06.Synthesis/Detuned_Beats_Wash
RAM for global variables,%,examples/06.Synthesis/Difference_Tone
flash,%,examples/06.Synthesis/Difference_Tone
RAM for global variables,%,examples/06.Synthesis/FMsynth
flash,%,examples/06.Synthesis/FMsynth
RAM for global variables,%,examples/06.Synthesis/FMsynth_32k_HIFI
flash,%,examples/06.Synthesis/FMsynth_32k_HIFI
RAM for global variables,%,examples/06.Synthesis/NonAlias_MetaOscil
flash,%,examples/06.Synthesis/NonAlias_MetaOscil
RAM for global variables,%,examples/06.Synthesis/PDresonant
flash,%,examples/06.Synthesis/PDresonant
RAM for global variables,%,examples/06.Synthesis/PWM_Phasing
flash,%,examples/06.Synthesis/PWM_Phasing
RAM for global variables,%,examples/06.Synthesis/WaveFolder
flash,%,examples/06.Synthesis/WaveFolder
RAM for global variables,%,examples/06.Synthesis/WavePacket_Double
flash,%,examples/06.Synthesis/WavePacket_Double
RAM for global variables,%,examples/06.Synthesis/WavePacket_Sample
flash,%,examples/06.Synthesis/WavePacket_Sample
RAM for global variables,%,examples/06.Synthesis/WavePacket_Single
flash,%,examples/06.Synthesis/WavePacket_Single
RAM for global variables,%,examples/06.Synthesis/Waveshaper
flash,%,examples/06.Synthesis/Waveshaper
RAM for global variables,% STMicroelectronics:stm32:GenF1:pnum=BLUEPILL_F103C8,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0 arduino:avr:mega,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0 arduino:avr:uno,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0 arduino:mbed_giga:giga,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0 arduino:renesas_uno:minima,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,16,0.01,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0 arduino:samd:adafruit_circuitplayground_m0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,4,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,4,0.0,0,0.0,4,0.0,0,0.0,4,0.0,0,0.0,0,0.0,0,0.0 esp8266:esp8266:huzzah,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A rp2040:rp2040:rpipico,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,8,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,8,0.0,0,0.0,8,0.0,0,0.0,0,0.0,0,0.0 teensy:avr:teensy36,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,-48,-0.0,0,0.0,-48,-0.0,0,0.0,-48,-0.0,0,0.0,0,0.0,0,0.0 teensy:avr:teensy41,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A ```
github-actions[bot] commented 3 months ago

Memory usage change @ 34b199c9882517591463fe3996a9f42807dd5be7

Board flash % RAM for global variables %
STMicroelectronics:stm32:GenF1:pnum=BLUEPILL_F103C8 0 - 0 0.0 - 0.0 0 - 0 0.0 - 0.0
arduino:avr:mega 0 - 0 0.0 - 0.0 0 - 0 0.0 - 0.0
arduino:avr:uno 0 - 0 0.0 - 0.0 0 - 0 0.0 - 0.0
arduino:mbed_giga:giga 0 - 0 0.0 - 0.0 0 - 0 0.0 - 0.0
arduino:renesas_uno:minima :small_red_triangle: 0 - +16 0.0 - +0.01 0 - 0 0.0 - 0.0
arduino:samd:adafruit_circuitplayground_m0 :small_red_triangle: 0 - +8 0.0 - 0.0 0 - 0 0.0 - 0.0
esp8266:esp8266:huzzah N/A N/A N/A N/A
rp2040:rp2040:rpipico :small_red_triangle: 0 - +8 0.0 - 0.0 0 - 0 0.0 - 0.0
teensy:avr:teensy36 :green_heart: -52 - 0 -0.0 - 0.0 0 - 0 0.0 - 0.0
teensy:avr:teensy41 N/A N/A N/A N/A
Click for full report table Board|`examples/01.Basics/Control_Gain`
flash|%|`examples/01.Basics/Control_Gain`
RAM for global variables|%|`examples/01.Basics/Sinewave`
flash|%|`examples/01.Basics/Sinewave`
RAM for global variables|%|`examples/01.Basics/Sinewave_HIFI`
flash|%|`examples/01.Basics/Sinewave_HIFI`
RAM for global variables|%|`examples/01.Basics/Skeleton`
flash|%|`examples/01.Basics/Skeleton`
RAM for global variables|%|`examples/01.Basics/Skeleton_Multi`
flash|%|`examples/01.Basics/Skeleton_Multi`
RAM for global variables|%|`examples/01.Basics/Table_Resolution`
flash|%|`examples/01.Basics/Table_Resolution`
RAM for global variables|%|`examples/01.Basics/Vibrato`
flash|%|`examples/01.Basics/Vibrato`
RAM for global variables|%|`examples/01.Basics/Vibrato_Midi_Note`
flash|%|`examples/01.Basics/Vibrato_Midi_Note`
RAM for global variables|%|`examples/02.Control/Control_Echo_Theremin`
flash|%|`examples/02.Control/Control_Echo_Theremin`
RAM for global variables|%|`examples/02.Control/Control_Oscil_Wash`
flash|%|`examples/02.Control/Control_Oscil_Wash`
RAM for global variables|%|`examples/02.Control/Control_Tremelo`
flash|%|`examples/02.Control/Control_Tremelo`
RAM for global variables|%|`examples/02.Control/EventDelay`
flash|%|`examples/02.Control/EventDelay`
RAM for global variables|%|`examples/02.Control/Line_Gliss`
flash|%|`examples/02.Control/Line_Gliss`
RAM for global variables|%|`examples/02.Control/Line_Gliss_Double_32k_HIFI`
flash|%|`examples/02.Control/Line_Gliss_Double_32k_HIFI`
RAM for global variables|%|`examples/02.Control/Metronome_SampleHuffman`
flash|%|`examples/02.Control/Metronome_SampleHuffman`
RAM for global variables|%|`examples/02.Control/Stop_Start`
flash|%|`examples/02.Control/Stop_Start`
RAM for global variables|%|`examples/06.Synthesis/AMsynth`
flash|%|`examples/06.Synthesis/AMsynth`
RAM for global variables|%|`examples/06.Synthesis/AMsynth_HIFI`
flash|%|`examples/06.Synthesis/AMsynth_HIFI`
RAM for global variables|%|`examples/06.Synthesis/Brown_Noise_Realtime`
flash|%|`examples/06.Synthesis/Brown_Noise_Realtime`
RAM for global variables|%|`examples/06.Synthesis/Detuned_Beats_Wash`
flash|%|`examples/06.Synthesis/Detuned_Beats_Wash`
RAM for global variables|%|`examples/06.Synthesis/Difference_Tone`
flash|%|`examples/06.Synthesis/Difference_Tone`
RAM for global variables|%|`examples/06.Synthesis/FMsynth`
flash|%|`examples/06.Synthesis/FMsynth`
RAM for global variables|%|`examples/06.Synthesis/FMsynth_32k_HIFI`
flash|%|`examples/06.Synthesis/FMsynth_32k_HIFI`
RAM for global variables|%|`examples/06.Synthesis/NonAlias_MetaOscil`
flash|%|`examples/06.Synthesis/NonAlias_MetaOscil`
RAM for global variables|%|`examples/06.Synthesis/PDresonant`
flash|%|`examples/06.Synthesis/PDresonant`
RAM for global variables|%|`examples/06.Synthesis/PWM_Phasing`
flash|%|`examples/06.Synthesis/PWM_Phasing`
RAM for global variables|%|`examples/06.Synthesis/WaveFolder`
flash|%|`examples/06.Synthesis/WaveFolder`
RAM for global variables|%|`examples/06.Synthesis/WavePacket_Double`
flash|%|`examples/06.Synthesis/WavePacket_Double`
RAM for global variables|%|`examples/06.Synthesis/WavePacket_Sample`
flash|%|`examples/06.Synthesis/WavePacket_Sample`
RAM for global variables|%|`examples/06.Synthesis/WavePacket_Single`
flash|%|`examples/06.Synthesis/WavePacket_Single`
RAM for global variables|%|`examples/06.Synthesis/Waveshaper`
flash|%|`examples/06.Synthesis/Waveshaper`
RAM for global variables|% -|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|-|- `STMicroelectronics:stm32:GenF1:pnum=BLUEPILL_F103C8`|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0 `arduino:avr:mega`|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0 `arduino:avr:uno`|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0 `arduino:mbed_giga:giga`|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0 `arduino:renesas_uno:minima`|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|16|0.01|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0 `arduino:samd:adafruit_circuitplayground_m0`|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|4|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|8|0.0|0|0.0|8|0.0|0|0.0|8|0.0|0|0.0|0|0.0|0|0.0 `esp8266:esp8266:huzzah`|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A `rp2040:rp2040:rpipico`|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|8|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|8|0.0|0|0.0|8|0.0|0|0.0|8|0.0|0|0.0|0|0.0|0|0.0 `teensy:avr:teensy36`|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|0|0.0|-52|-0.0|0|0.0|-52|-0.0|0|0.0|-52|-0.0|0|0.0|0|0.0|0|0.0 `teensy:avr:teensy41`|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A|N/A
Click for full report CSV ``` Board,examples/01.Basics/Control_Gain
flash,%,examples/01.Basics/Control_Gain
RAM for global variables,%,examples/01.Basics/Sinewave
flash,%,examples/01.Basics/Sinewave
RAM for global variables,%,examples/01.Basics/Sinewave_HIFI
flash,%,examples/01.Basics/Sinewave_HIFI
RAM for global variables,%,examples/01.Basics/Skeleton
flash,%,examples/01.Basics/Skeleton
RAM for global variables,%,examples/01.Basics/Skeleton_Multi
flash,%,examples/01.Basics/Skeleton_Multi
RAM for global variables,%,examples/01.Basics/Table_Resolution
flash,%,examples/01.Basics/Table_Resolution
RAM for global variables,%,examples/01.Basics/Vibrato
flash,%,examples/01.Basics/Vibrato
RAM for global variables,%,examples/01.Basics/Vibrato_Midi_Note
flash,%,examples/01.Basics/Vibrato_Midi_Note
RAM for global variables,%,examples/02.Control/Control_Echo_Theremin
flash,%,examples/02.Control/Control_Echo_Theremin
RAM for global variables,%,examples/02.Control/Control_Oscil_Wash
flash,%,examples/02.Control/Control_Oscil_Wash
RAM for global variables,%,examples/02.Control/Control_Tremelo
flash,%,examples/02.Control/Control_Tremelo
RAM for global variables,%,examples/02.Control/EventDelay
flash,%,examples/02.Control/EventDelay
RAM for global variables,%,examples/02.Control/Line_Gliss
flash,%,examples/02.Control/Line_Gliss
RAM for global variables,%,examples/02.Control/Line_Gliss_Double_32k_HIFI
flash,%,examples/02.Control/Line_Gliss_Double_32k_HIFI
RAM for global variables,%,examples/02.Control/Metronome_SampleHuffman
flash,%,examples/02.Control/Metronome_SampleHuffman
RAM for global variables,%,examples/02.Control/Stop_Start
flash,%,examples/02.Control/Stop_Start
RAM for global variables,%,examples/06.Synthesis/AMsynth
flash,%,examples/06.Synthesis/AMsynth
RAM for global variables,%,examples/06.Synthesis/AMsynth_HIFI
flash,%,examples/06.Synthesis/AMsynth_HIFI
RAM for global variables,%,examples/06.Synthesis/Brown_Noise_Realtime
flash,%,examples/06.Synthesis/Brown_Noise_Realtime
RAM for global variables,%,examples/06.Synthesis/Detuned_Beats_Wash
flash,%,examples/06.Synthesis/Detuned_Beats_Wash
RAM for global variables,%,examples/06.Synthesis/Difference_Tone
flash,%,examples/06.Synthesis/Difference_Tone
RAM for global variables,%,examples/06.Synthesis/FMsynth
flash,%,examples/06.Synthesis/FMsynth
RAM for global variables,%,examples/06.Synthesis/FMsynth_32k_HIFI
flash,%,examples/06.Synthesis/FMsynth_32k_HIFI
RAM for global variables,%,examples/06.Synthesis/NonAlias_MetaOscil
flash,%,examples/06.Synthesis/NonAlias_MetaOscil
RAM for global variables,%,examples/06.Synthesis/PDresonant
flash,%,examples/06.Synthesis/PDresonant
RAM for global variables,%,examples/06.Synthesis/PWM_Phasing
flash,%,examples/06.Synthesis/PWM_Phasing
RAM for global variables,%,examples/06.Synthesis/WaveFolder
flash,%,examples/06.Synthesis/WaveFolder
RAM for global variables,%,examples/06.Synthesis/WavePacket_Double
flash,%,examples/06.Synthesis/WavePacket_Double
RAM for global variables,%,examples/06.Synthesis/WavePacket_Sample
flash,%,examples/06.Synthesis/WavePacket_Sample
RAM for global variables,%,examples/06.Synthesis/WavePacket_Single
flash,%,examples/06.Synthesis/WavePacket_Single
RAM for global variables,%,examples/06.Synthesis/Waveshaper
flash,%,examples/06.Synthesis/Waveshaper
RAM for global variables,% STMicroelectronics:stm32:GenF1:pnum=BLUEPILL_F103C8,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0 arduino:avr:mega,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0 arduino:avr:uno,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0 arduino:mbed_giga:giga,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0 arduino:renesas_uno:minima,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,16,0.01,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0 arduino:samd:adafruit_circuitplayground_m0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,4,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,8,0.0,0,0.0,8,0.0,0,0.0,8,0.0,0,0.0,0,0.0,0,0.0 esp8266:esp8266:huzzah,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A rp2040:rp2040:rpipico,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,8,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,8,0.0,0,0.0,8,0.0,0,0.0,8,0.0,0,0.0,0,0.0,0,0.0 teensy:avr:teensy36,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,0,0.0,-52,-0.0,0,0.0,-52,-0.0,0,0.0,-52,-0.0,0,0.0,0,0.0,0,0.0 teensy:avr:teensy41,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A,N/A ```