sgherbst / pysvinst

Python library for parsing module definitions and instantiations from SystemVerilog files
MIT License
22 stars 5 forks source link

Fix macro-defined instance name issue #12

Closed sgherbst closed 3 years ago

sgherbst commented 3 years ago

This small PR addresses issue #10 by upgrading the sv-parser dependency (which recently fixed the root cause of the error). A regression test is added for this case to prevent it from occurring again.