stnolting / neorv32-setups

📁 NEORV32 projects and exemplary setups for various FPGAs, boards and (open-source) toolchains.
https://github.com/stnolting/neorv32
BSD 3-Clause "New" or "Revised" License
62 stars 16 forks source link

setup iCESugar-v1.5 failed #70

Closed wklam296 closed 1 year ago

wklam296 commented 1 year ago

yosys \ -p \ "ghdl --std=08 --workdir=build -Pbuild --no-formal neorv32_iCESugarv15_BoardTop_MinimalBoot; \ synth_ice40 \ -top neorv32_iCESugarv15_BoardTop_MinimalBoot -dsp \ -json neorv32_iCESugar-v1.5_MinimalBoot.json" 2>&1 | tee yosys-report.txt ERROR: No such command: ghdl (type 'help' for a command overview) nextpnr-ice40 \ --up5k --package sg48 --ignore-loops --timing-allow-fail \ --pcf ../constraints/board/iCESugar-v1.5/constraints.pcf \ --json neorv32_iCESugar-v1.5_MinimalBoot.json \ --asc neorv32_iCESugar-v1.5_MinimalBoot.asc 2>&1 | tee nextpnr-report.txt ERROR: Failed to open JSON file 'neorv32_iCESugar-v1.5_MinimalBoot.json'.

wklam296 commented 1 year ago

make[3]: *** No rule to make target 'board_tops/neorv32_iCESugar-v1.5_BoardTop_UP5KDemo.vhd', needed by 'work-obj08.cf'. Stop.

wklam296 commented 1 year ago

And for all board, an error occured: https://github.com/ghdl/ghdl-yosys-plugin/issues/182#issuecomment-1358766007

wklam296 commented 1 year ago

osflow/synthesis.mk

The setup want to use yosys-ghdl plug-in but not default using it. Line 19 : -p \ should be -m ghdl -p \

umarcor commented 1 year ago

See https://github.com/ghdl/ghdl-yosys-plugin/issues/182#issuecomment-1357390794. Precisely https://github.com/stnolting/neorv32-setups/blob/main/osflow/common.mk#L20. So, try GHDL_PLUGIN_MODULE=ghdl make BOARD=iCEBreaker UP5KDemo.

stnolting commented 1 year ago

@wklam296 can we close this? ;)

wklam296 commented 1 year ago

@wklam296 can we close this? ;)

sure, thanks