t-crest / patmos

Patmos is a time-predictable VLIW processor, and the processor for the T-CREST project
http://patmos.compute.dtu.dk
BSD 2-Clause "Simplified" License
135 stars 72 forks source link

Patmos emulator fails to build with Argo #104

Closed michael-platzer closed 2 years ago

michael-platzer commented 2 years ago

According to section 1.2.8 of the Patmos Reference Handbook to enable a multi-core Patmos one should "uncomment following lines in the configuration file:"

<pipeline dual="false" />
<cores count="4"/>
<CmpDevs>
<CmpDev name="Argo" />
</CmpDevs>

However, if I do that, then building Patmos emulator fails. I first did a build with the original configuration to verify that everything works and then uncommented the lines as instructed in the configuration file. When rebuilding Patmos emulator I get following error:

[info] [0.003] Elaborating design...
IO device Timer: entity Timer, offset 2, params Map(), interrupts: List(0, 1), all cores
IO device Deadline: entity Deadline, offset 3, params Map(), all cores
IO device Sram16: entity SRamCtrl, offset -1, params Map(ocpAddrWidth -> 21, sramAddrWidth -> 20, sramDataWidth -> 16), core 0
IO device Leds: entity Leds, offset 9, params Map(ledCount -> 9), core 0
IO device Keys: entity Keys, offset 10, params Map(keyCount -> 4), interrupts: List(2, 3, 4, 5), core 0
Config core count: 4
Reading /home/michael/Documents/t-crest-2021/patmos/hardware/../tmp/bootable-bootloader.bin
Reading /home/michael/Documents/t-crest-2021/patmos/hardware/../tmp/bootable-bootloader.bin
Reading /home/michael/Documents/t-crest-2021/patmos/hardware/../tmp/bootable-bootloader.bin
Reading /home/michael/Documents/t-crest-2021/patmos/hardware/../tmp/bootable-bootloader.bin
Config cmp: 
device: Argo
Argo connecting 4 Patmos islands with configuration:
N=2
M=2
SPM_SIZE (Bytes)=4096
Emulation is false
o--Instantiating Nodes
|---Node #0 @ (0,0)
|---Node #1 @ (0,1)
|---Node #2 @ (1,0)
|---Node #3 @ (1,1)
o--Building Interconnect
[error] java.io.IOException: error=2, No such file or directory
[error]     ...
[error]     at argo.ArgoConfig$.genPoseidonSched(ArgoConfig.scala:157)
[error]     at argo.Argo.<init>(Argo.scala:136)
[error]     at patmos.Patmos.$anonfun$cmpdevios$2(Patmos.scala:257)
[error]     at chisel3.Module$.do_apply(Module.scala:54)
[error]     at patmos.Patmos.$anonfun$cmpdevios$1(Patmos.scala:257)
[error]     at scala.collection.TraversableLike.$anonfun$map$1(TraversableLike.scala:285)
[error]     at scala.collection.immutable.Set$Set3.foreach(Set.scala:233)
[error]     at scala.collection.TraversableLike.map(TraversableLike.scala:285)
[error]     at scala.collection.TraversableLike.map$(TraversableLike.scala:278)
[error]     at scala.collection.AbstractSet.scala$collection$SetLike$$super$map(Set.scala:53)
[error]     at scala.collection.SetLike.map(SetLike.scala:105)
[error]     at scala.collection.SetLike.map$(SetLike.scala:105)
[error]     at scala.collection.AbstractSet.map(Set.scala:53)
[error]     at patmos.Patmos.<init>(Patmos.scala:254)
[error]     at patmos.PatmosMain$.$anonfun$new$118(Patmos.scala:580)
[error]     ... (Stack trace trimmed to user code only, rerun with --full-stacktrace if you wish to see the full stack trace)
[error] (run-main-0) firrtl.options.StageError: 
[error] firrtl.options.StageError: 
[error]     at chisel3.stage.ChiselStage.run(ChiselStage.scala:60)
[error]     at firrtl.options.Stage$$anon$1.transform(Stage.scala:43)
[error]     at firrtl.options.Stage$$anon$1.transform(Stage.scala:43)
[error]     at firrtl.options.phases.DeletedWrapper.internalTransform(DeletedWrapper.scala:38)
[error]     at firrtl.options.phases.DeletedWrapper.internalTransform(DeletedWrapper.scala:15)
[error]     at firrtl.options.Translator.transform(Phase.scala:248)
[error]     at firrtl.options.Translator.transform$(Phase.scala:248)
[error]     at firrtl.options.phases.DeletedWrapper.transform(DeletedWrapper.scala:15)
[error]     at firrtl.options.Stage.$anonfun$transform$5(Stage.scala:47)
[error]     at scala.collection.LinearSeqOptimized.foldLeft(LinearSeqOptimized.scala:126)
[error]     at scala.collection.LinearSeqOptimized.foldLeft$(LinearSeqOptimized.scala:122)
[error]     at scala.collection.immutable.List.foldLeft(List.scala:91)
[error]     at firrtl.options.Stage.$anonfun$transform$3(Stage.scala:47)
[error]     at logger.Logger$.$anonfun$makeScope$2(Logger.scala:166)
[error]     at scala.util.DynamicVariable.withValue(DynamicVariable.scala:62)
[error]     at logger.Logger$.makeScope(Logger.scala:164)
[error]     at firrtl.options.Stage.transform(Stage.scala:47)
[error]     at firrtl.options.Stage.execute(Stage.scala:58)
[error]     at chisel3.stage.ChiselStage.emitVerilog(ChiselStage.scala:117)
[error]     at patmos.PatmosMain$.delayedEndpoint$patmos$PatmosMain$1(Patmos.scala:580)
[error]     at patmos.PatmosMain$delayedInit$body.apply(Patmos.scala:571)
[error]     at scala.Function0.apply$mcV$sp(Function0.scala:39)
[error]     at scala.Function0.apply$mcV$sp$(Function0.scala:39)
[error]     at scala.runtime.AbstractFunction0.apply$mcV$sp(AbstractFunction0.scala:17)
[error]     at scala.App.$anonfun$main$1$adapted(App.scala:80)
[error]     at scala.collection.immutable.List.foreach(List.scala:431)
[error]     at scala.App.main(App.scala:80)
[error]     at scala.App.main$(App.scala:78)
[error]     at patmos.PatmosMain$.main(Patmos.scala:571)
[error]     at patmos.PatmosMain.main(Patmos.scala)
[error]     at sun.reflect.NativeMethodAccessorImpl.invoke0(Native Method)
[error]     at sun.reflect.NativeMethodAccessorImpl.invoke(NativeMethodAccessorImpl.java:62)
[error]     at sun.reflect.DelegatingMethodAccessorImpl.invoke(DelegatingMethodAccessorImpl.java:43)
[error]     at java.lang.reflect.Method.invoke(Method.java:498)
[error] Caused by: chisel3.internal.ChiselException: Exception thrown when elaborating ChiselGeneratorAnnotation
[error]     at chisel3.stage.ChiselGeneratorAnnotation.elaborate(ChiselAnnotations.scala:65)
[error]     at chisel3.stage.phases.Elaborate.$anonfun$transform$1(Elaborate.scala:24)
[error]     at scala.collection.immutable.List.flatMap(List.scala:366)
[error]     at chisel3.stage.phases.Elaborate.transform(Elaborate.scala:23)
[error]     at chisel3.stage.phases.Elaborate.transform(Elaborate.scala:16)
[error]     at firrtl.options.phases.DeletedWrapper.internalTransform(DeletedWrapper.scala:38)
[error]     at firrtl.options.phases.DeletedWrapper.internalTransform(DeletedWrapper.scala:15)
[error]     at firrtl.options.Translator.transform(Phase.scala:248)
[error]     at firrtl.options.Translator.transform$(Phase.scala:248)
[error]     at firrtl.options.phases.DeletedWrapper.transform(DeletedWrapper.scala:15)
[error]     at firrtl.options.DependencyManager.$anonfun$transform$3(DependencyManager.scala:278)
[error]     at scala.collection.LinearSeqOptimized.foldLeft(LinearSeqOptimized.scala:126)
[error]     at scala.collection.LinearSeqOptimized.foldLeft$(LinearSeqOptimized.scala:122)
[error]     at scala.collection.immutable.List.foldLeft(List.scala:91)
[error]     at firrtl.options.DependencyManager.transform(DependencyManager.scala:269)
[error]     at firrtl.options.DependencyManager.transform$(DependencyManager.scala:255)
[error]     at firrtl.options.PhaseManager.transform(DependencyManager.scala:436)
[error]     at chisel3.stage.ChiselStage.run(ChiselStage.scala:46)
[error]     at firrtl.options.Stage$$anon$1.transform(Stage.scala:43)
[error]     at firrtl.options.Stage$$anon$1.transform(Stage.scala:43)
[error]     at firrtl.options.phases.DeletedWrapper.internalTransform(DeletedWrapper.scala:38)
[error]     at firrtl.options.phases.DeletedWrapper.internalTransform(DeletedWrapper.scala:15)
[error]     at firrtl.options.Translator.transform(Phase.scala:248)
[error]     at firrtl.options.Translator.transform$(Phase.scala:248)
[error]     at firrtl.options.phases.DeletedWrapper.transform(DeletedWrapper.scala:15)
[error]     at firrtl.options.Stage.$anonfun$transform$5(Stage.scala:47)
[error]     at scala.collection.LinearSeqOptimized.foldLeft(LinearSeqOptimized.scala:126)
[error]     at scala.collection.LinearSeqOptimized.foldLeft$(LinearSeqOptimized.scala:122)
[error]     at scala.collection.immutable.List.foldLeft(List.scala:91)
[error]     at firrtl.options.Stage.$anonfun$transform$3(Stage.scala:47)
[error]     at logger.Logger$.$anonfun$makeScope$2(Logger.scala:166)
[error]     at scala.util.DynamicVariable.withValue(DynamicVariable.scala:62)
[error]     at logger.Logger$.makeScope(Logger.scala:164)
[error]     at firrtl.options.Stage.transform(Stage.scala:47)
[error]     at firrtl.options.Stage.execute(Stage.scala:58)
[error]     at chisel3.stage.ChiselStage.emitVerilog(ChiselStage.scala:117)
[error]     at patmos.PatmosMain$.delayedEndpoint$patmos$PatmosMain$1(Patmos.scala:580)
[error]     at patmos.PatmosMain$delayedInit$body.apply(Patmos.scala:571)
[error]     at scala.Function0.apply$mcV$sp(Function0.scala:39)
[error]     at scala.Function0.apply$mcV$sp$(Function0.scala:39)
[error]     at scala.runtime.AbstractFunction0.apply$mcV$sp(AbstractFunction0.scala:17)
[error]     at scala.App.$anonfun$main$1$adapted(App.scala:80)
[error]     at scala.collection.immutable.List.foreach(List.scala:431)
[error]     at scala.App.main(App.scala:80)
[error]     at scala.App.main$(App.scala:78)
[error]     at patmos.PatmosMain$.main(Patmos.scala:571)
[error]     at patmos.PatmosMain.main(Patmos.scala)
[error]     at sun.reflect.NativeMethodAccessorImpl.invoke0(Native Method)
[error]     at sun.reflect.NativeMethodAccessorImpl.invoke(NativeMethodAccessorImpl.java:62)
[error]     at sun.reflect.DelegatingMethodAccessorImpl.invoke(DelegatingMethodAccessorImpl.java:43)
[error]     at java.lang.reflect.Method.invoke(Method.java:498)
[error] Caused by: java.io.IOException: Cannot run program "../../local/bin/poseidon": error=2, No such file or directory
[error]     at java.lang.ProcessBuilder.start(ProcessBuilder.java:1048)
[error]     at scala.sys.process.ProcessBuilderImpl$Simple.run(ProcessBuilderImpl.scala:75)
[error]     at scala.sys.process.ProcessBuilderImpl$AbstractBuilder.run(ProcessBuilderImpl.scala:104)
[error]     at scala.sys.process.ProcessBuilderImpl$AbstractBuilder.$bang(ProcessBuilderImpl.scala:118)
[error]     at argo.ArgoConfig$.genPoseidonSched(ArgoConfig.scala:157)
[error]     at argo.Argo.<init>(Argo.scala:136)
[error]     at patmos.Patmos.$anonfun$cmpdevios$2(Patmos.scala:257)
[error]     at chisel3.Module$.do_apply(Module.scala:54)
[error]     at patmos.Patmos.$anonfun$cmpdevios$1(Patmos.scala:257)
[error]     at scala.collection.TraversableLike.$anonfun$map$1(TraversableLike.scala:285)
[error]     at scala.collection.immutable.Set$Set3.foreach(Set.scala:233)
[error]     at scala.collection.TraversableLike.map(TraversableLike.scala:285)
[error]     at scala.collection.TraversableLike.map$(TraversableLike.scala:278)
[error]     at scala.collection.AbstractSet.scala$collection$SetLike$$super$map(Set.scala:53)
[error]     at scala.collection.SetLike.map(SetLike.scala:105)
[error]     at scala.collection.SetLike.map$(SetLike.scala:105)
[error]     at scala.collection.AbstractSet.map(Set.scala:53)
[error]     at patmos.Patmos.<init>(Patmos.scala:254)
[error]     at patmos.PatmosMain$.$anonfun$new$118(Patmos.scala:580)
[error]     at chisel3.Module$.do_apply(Module.scala:54)
[error]     at chisel3.stage.ChiselGeneratorAnnotation.$anonfun$elaborate$1(ChiselAnnotations.scala:60)
[error]     at chisel3.internal.Builder$.$anonfun$build$1(Builder.scala:642)
[error]     at scala.util.DynamicVariable.withValue(DynamicVariable.scala:62)
[error]     at chisel3.internal.Builder$.build(Builder.scala:639)
[error]     at chisel3.internal.Builder$.build(Builder.scala:635)
[error]     at chisel3.stage.ChiselGeneratorAnnotation.elaborate(ChiselAnnotations.scala:60)
[error]     at chisel3.stage.phases.Elaborate.$anonfun$transform$1(Elaborate.scala:24)
[error]     at scala.collection.immutable.List.flatMap(List.scala:366)
[error]     at chisel3.stage.phases.Elaborate.transform(Elaborate.scala:23)
[error]     at chisel3.stage.phases.Elaborate.transform(Elaborate.scala:16)
[error]     at firrtl.options.phases.DeletedWrapper.internalTransform(DeletedWrapper.scala:38)
[error]     at firrtl.options.phases.DeletedWrapper.internalTransform(DeletedWrapper.scala:15)
[error]     at firrtl.options.Translator.transform(Phase.scala:248)
[error]     at firrtl.options.Translator.transform$(Phase.scala:248)
[error]     at firrtl.options.phases.DeletedWrapper.transform(DeletedWrapper.scala:15)
[error]     at firrtl.options.DependencyManager.$anonfun$transform$3(DependencyManager.scala:278)
[error]     at scala.collection.LinearSeqOptimized.foldLeft(LinearSeqOptimized.scala:126)
[error]     at scala.collection.LinearSeqOptimized.foldLeft$(LinearSeqOptimized.scala:122)
[error]     at scala.collection.immutable.List.foldLeft(List.scala:91)
[error]     at firrtl.options.DependencyManager.transform(DependencyManager.scala:269)
[error]     at firrtl.options.DependencyManager.transform$(DependencyManager.scala:255)
[error]     at firrtl.options.PhaseManager.transform(DependencyManager.scala:436)
[error]     at chisel3.stage.ChiselStage.run(ChiselStage.scala:46)
[error]     at firrtl.options.Stage$$anon$1.transform(Stage.scala:43)
[error]     at firrtl.options.Stage$$anon$1.transform(Stage.scala:43)
[error]     at firrtl.options.phases.DeletedWrapper.internalTransform(DeletedWrapper.scala:38)
[error]     at firrtl.options.phases.DeletedWrapper.internalTransform(DeletedWrapper.scala:15)
[error]     at firrtl.options.Translator.transform(Phase.scala:248)
[error]     at firrtl.options.Translator.transform$(Phase.scala:248)
[error]     at firrtl.options.phases.DeletedWrapper.transform(DeletedWrapper.scala:15)
[error]     at firrtl.options.Stage.$anonfun$transform$5(Stage.scala:47)
[error]     at scala.collection.LinearSeqOptimized.foldLeft(LinearSeqOptimized.scala:126)
[error]     at scala.collection.LinearSeqOptimized.foldLeft$(LinearSeqOptimized.scala:122)
[error]     at scala.collection.immutable.List.foldLeft(List.scala:91)
[error]     at firrtl.options.Stage.$anonfun$transform$3(Stage.scala:47)
[error]     at logger.Logger$.$anonfun$makeScope$2(Logger.scala:166)
[error]     at scala.util.DynamicVariable.withValue(DynamicVariable.scala:62)
[error]     at logger.Logger$.makeScope(Logger.scala:164)
[error]     at firrtl.options.Stage.transform(Stage.scala:47)
[error]     at firrtl.options.Stage.execute(Stage.scala:58)
[error]     at chisel3.stage.ChiselStage.emitVerilog(ChiselStage.scala:117)
[error]     at patmos.PatmosMain$.delayedEndpoint$patmos$PatmosMain$1(Patmos.scala:580)
[error]     at patmos.PatmosMain$delayedInit$body.apply(Patmos.scala:571)
[error]     at scala.Function0.apply$mcV$sp(Function0.scala:39)
[error]     at scala.Function0.apply$mcV$sp$(Function0.scala:39)
[error]     at scala.runtime.AbstractFunction0.apply$mcV$sp(AbstractFunction0.scala:17)
[error]     at scala.App.$anonfun$main$1$adapted(App.scala:80)
[error]     at scala.collection.immutable.List.foreach(List.scala:431)
[error]     at scala.App.main(App.scala:80)
[error]     at scala.App.main$(App.scala:78)
[error]     at patmos.PatmosMain$.main(Patmos.scala:571)
[error]     at patmos.PatmosMain.main(Patmos.scala)
[error]     at sun.reflect.NativeMethodAccessorImpl.invoke0(Native Method)
[error]     at sun.reflect.NativeMethodAccessorImpl.invoke(NativeMethodAccessorImpl.java:62)
[error]     at sun.reflect.DelegatingMethodAccessorImpl.invoke(DelegatingMethodAccessorImpl.java:43)
[error]     at java.lang.reflect.Method.invoke(Method.java:498)
[error] Caused by: java.io.IOException: error=2, No such file or directory
[error]     at java.lang.UNIXProcess.forkAndExec(Native Method)
[error]     at java.lang.UNIXProcess.<init>(UNIXProcess.java:247)
[error]     at java.lang.ProcessImpl.start(ProcessImpl.java:134)
[error]     at java.lang.ProcessBuilder.start(ProcessBuilder.java:1029)
[error]     at scala.sys.process.ProcessBuilderImpl$Simple.run(ProcessBuilderImpl.scala:75)
[error]     at scala.sys.process.ProcessBuilderImpl$AbstractBuilder.run(ProcessBuilderImpl.scala:104)
[error]     at scala.sys.process.ProcessBuilderImpl$AbstractBuilder.$bang(ProcessBuilderImpl.scala:118)
[error]     at argo.ArgoConfig$.genPoseidonSched(ArgoConfig.scala:157)
[error]     at argo.Argo.<init>(Argo.scala:136)
[error]     at patmos.Patmos.$anonfun$cmpdevios$2(Patmos.scala:257)
[error]     at chisel3.Module$.do_apply(Module.scala:54)
[error]     at patmos.Patmos.$anonfun$cmpdevios$1(Patmos.scala:257)
[error]     at scala.collection.TraversableLike.$anonfun$map$1(TraversableLike.scala:285)
[error]     at scala.collection.immutable.Set$Set3.foreach(Set.scala:233)
[error]     at scala.collection.TraversableLike.map(TraversableLike.scala:285)
[error]     at scala.collection.TraversableLike.map$(TraversableLike.scala:278)
[error]     at scala.collection.AbstractSet.scala$collection$SetLike$$super$map(Set.scala:53)
[error]     at scala.collection.SetLike.map(SetLike.scala:105)
[error]     at scala.collection.SetLike.map$(SetLike.scala:105)
[error]     at scala.collection.AbstractSet.map(Set.scala:53)
[error]     at patmos.Patmos.<init>(Patmos.scala:254)
[error]     at patmos.PatmosMain$.$anonfun$new$118(Patmos.scala:580)
[error]     at chisel3.Module$.do_apply(Module.scala:54)
[error]     at chisel3.stage.ChiselGeneratorAnnotation.$anonfun$elaborate$1(ChiselAnnotations.scala:60)
[error]     at chisel3.internal.Builder$.$anonfun$build$1(Builder.scala:642)
[error]     at scala.util.DynamicVariable.withValue(DynamicVariable.scala:62)
[error]     at chisel3.internal.Builder$.build(Builder.scala:639)
[error]     at chisel3.internal.Builder$.build(Builder.scala:635)
[error]     at chisel3.stage.ChiselGeneratorAnnotation.elaborate(ChiselAnnotations.scala:60)
[error]     at chisel3.stage.phases.Elaborate.$anonfun$transform$1(Elaborate.scala:24)
[error]     at scala.collection.immutable.List.flatMap(List.scala:366)
[error]     at chisel3.stage.phases.Elaborate.transform(Elaborate.scala:23)
[error]     at chisel3.stage.phases.Elaborate.transform(Elaborate.scala:16)
[error]     at firrtl.options.phases.DeletedWrapper.internalTransform(DeletedWrapper.scala:38)
[error]     at firrtl.options.phases.DeletedWrapper.internalTransform(DeletedWrapper.scala:15)
[error]     at firrtl.options.Translator.transform(Phase.scala:248)
[error]     at firrtl.options.Translator.transform$(Phase.scala:248)
[error]     at firrtl.options.phases.DeletedWrapper.transform(DeletedWrapper.scala:15)
[error]     at firrtl.options.DependencyManager.$anonfun$transform$3(DependencyManager.scala:278)
[error]     at scala.collection.LinearSeqOptimized.foldLeft(LinearSeqOptimized.scala:126)
[error]     at scala.collection.LinearSeqOptimized.foldLeft$(LinearSeqOptimized.scala:122)
[error]     at scala.collection.immutable.List.foldLeft(List.scala:91)
[error]     at firrtl.options.DependencyManager.transform(DependencyManager.scala:269)
[error]     at firrtl.options.DependencyManager.transform$(DependencyManager.scala:255)
[error]     at firrtl.options.PhaseManager.transform(DependencyManager.scala:436)
[error]     at chisel3.stage.ChiselStage.run(ChiselStage.scala:46)
[error]     at firrtl.options.Stage$$anon$1.transform(Stage.scala:43)
[error]     at firrtl.options.Stage$$anon$1.transform(Stage.scala:43)
[error]     at firrtl.options.phases.DeletedWrapper.internalTransform(DeletedWrapper.scala:38)
[error]     at firrtl.options.phases.DeletedWrapper.internalTransform(DeletedWrapper.scala:15)
[error]     at firrtl.options.Translator.transform(Phase.scala:248)
[error]     at firrtl.options.Translator.transform$(Phase.scala:248)
[error]     at firrtl.options.phases.DeletedWrapper.transform(DeletedWrapper.scala:15)
[error]     at firrtl.options.Stage.$anonfun$transform$5(Stage.scala:47)
[error]     at scala.collection.LinearSeqOptimized.foldLeft(LinearSeqOptimized.scala:126)
[error]     at scala.collection.LinearSeqOptimized.foldLeft$(LinearSeqOptimized.scala:122)
[error]     at scala.collection.immutable.List.foldLeft(List.scala:91)
[error]     at firrtl.options.Stage.$anonfun$transform$3(Stage.scala:47)
[error]     at logger.Logger$.$anonfun$makeScope$2(Logger.scala:166)
[error]     at scala.util.DynamicVariable.withValue(DynamicVariable.scala:62)
[error]     at logger.Logger$.makeScope(Logger.scala:164)
[error]     at firrtl.options.Stage.transform(Stage.scala:47)
[error]     at firrtl.options.Stage.execute(Stage.scala:58)
[error]     at chisel3.stage.ChiselStage.emitVerilog(ChiselStage.scala:117)
[error]     at patmos.PatmosMain$.delayedEndpoint$patmos$PatmosMain$1(Patmos.scala:580)
[error]     at patmos.PatmosMain$delayedInit$body.apply(Patmos.scala:571)
[error]     at scala.Function0.apply$mcV$sp(Function0.scala:39)
[error]     at scala.Function0.apply$mcV$sp$(Function0.scala:39)
[error]     at scala.runtime.AbstractFunction0.apply$mcV$sp(AbstractFunction0.scala:17)
[error]     at scala.App.$anonfun$main$1$adapted(App.scala:80)
[error]     at scala.collection.immutable.List.foreach(List.scala:431)
[error]     at scala.App.main(App.scala:80)
[error]     at scala.App.main$(App.scala:78)
[error]     at patmos.PatmosMain$.main(Patmos.scala:571)
[error]     at patmos.PatmosMain.main(Patmos.scala)
[error]     at sun.reflect.NativeMethodAccessorImpl.invoke0(Native Method)
[error]     at sun.reflect.NativeMethodAccessorImpl.invoke(NativeMethodAccessorImpl.java:62)
[error]     at sun.reflect.DelegatingMethodAccessorImpl.invoke(DelegatingMethodAccessorImpl.java:43)
[error]     at java.lang.reflect.Method.invoke(Method.java:498)
[error] Nonzero exit code: 1
[error] (Compile / runMain) Nonzero exit code: 1
[error] Total time: 6 s, completed Nov 4, 2021 5:05:46 PM
schoeberl commented 2 years ago

Argo is written in VHDL, so it cannot run with Verilator (patemu), only directly in an FPGA. I had students trying to translate Argo to Chisel, but they didn't finish. Sorry for this.

michael-platzer commented 2 years ago

Ok, thanks for the clarification!