taichi-ishitani / tvip-axi

AMBA AXI VIP
Apache License 2.0
354 stars 102 forks source link

problem about sequencer #24

Closed sfjiang-astri closed 3 years ago

sfjiang-astri commented 3 years ago
class `vseq(`tc_name) extends uvm_sequence;

    `uvm_object_utils(`vseq(`tc_name))
    `uvm_declare_p_sequencer(axi_test_vsqr)

    function  new(string name= "sanity_vseq");
        super.new(name);
    endfunction 

    virtual task body();
        sanity_sequence dseq;
        uvm_status_e   status;
        uvm_reg_data_t value;
        if(starting_phase != null)
            begin
            starting_phase.raise_objection(this);
            end
         #10000;
        dseq = sanity_sequence::type_id::create("dseq");
        dseq.start(p_sequencer.p_axi_test_sqr);
        #10000;

        if(starting_phase != null)
            begin
            starting_phase.drop_objection(this);
            end
    endtask

endclass

Hi Taichi, I use the tvip-axi as a VIP in my project. It doesn't finish after this line dseq.start(p_sequencer.p_axi_test_sqr); in my testcase. I wonder if there are something didn't finish in tvip-axi? thanks

taichi-ishitani commented 3 years ago

Can you share implementation of sanity_sequence?

taichi-ishitani commented 3 years ago

In addition, I'd like to see the wave dump.