taichi-ishitani / tvip-axi

AMBA AXI VIP
Apache License 2.0
331 stars 99 forks source link

Mismatch issue #52

Closed Nathanat77 closed 3 months ago

Nathanat77 commented 3 months ago

Hi Taichi Ishitani;

I have tried first run with your TVIP-AXI, however I have got the mismatch issue as follows:

UVM_ERROR /net/tvip-axi/sample/env/tvip_axi_sample_write_read_sequence.svh(74) @ 191000: uvm_test_top.master_agent.sequencer@@tvip_axi_sample_write_read_sequence [CMPDATA] write and read data are mismatched !!

The command I used is : make sim_vcs TEST=default

If I forced the setting wstrb in tvip_axi_master_driver.svh to 'hffff as follows, the mismatch is gone. vif.master_cb.wstrb <= 'hffff;

I am wondering that it is bug?

Thank you.

Regards Nathan

taichi-ishitani commented 3 months ago

Can you provide the log file?

Nathanat77 commented 3 months ago

Here it is :

simv.zip

I can give you waveform if that helps.

Thank you very much.

taichi-ishitani commented 3 months ago

Thank you. I will look into the log file.

taichi-ishitani commented 3 months ago

I was able to reproduce this issue on my side.

Nathanat77 commented 3 months ago

Thank you for confirmation. Do you have solution for it?

Thank you.

taichi-ishitani commented 3 months ago

I'm investigating now.

taichi-ishitani commented 3 months ago

The memory model includes a bug and I've fixed it. Can you try again?

$ cd tvip-axi
$ rm -rf tue tvip-common
$ ./setup_submodules.sh
$ cd sample/work
$ make RANDOM_SEED=-2081893827 default
Nathanat77 commented 3 months ago

Hi Taichi Ishitani;

I have tested and confirmed that the bug was fixed.

Thank you so much for your help.

Regards Nathan