issues
search
themperek
/
cocotb-test
Unit testing for cocotb
BSD 2-Clause "Simplified" License
146
stars
72
forks
source link
issues
Newest
Newest
Most commented
Recently updated
Oldest
Least commented
Least recently updated
Add support for pre_cmd parameter to match cocotb v1.9
#264
FMaxSokol
opened
2 weeks ago
1
Add support for upcoming cocotb 2.0
#263
p12tic
opened
4 weeks ago
2
simulator: Remove -mixedsvvh from default args for Questa
#262
m42uko
closed
2 weeks ago
0
fix simulator exit_gracefully
#261
akukulanski
closed
1 month ago
0
exit_gracefully broken since use of asyncio
#260
akukulanski
closed
1 month ago
1
use cocotb 1.9 for ci tests
#259
themperek
closed
2 months ago
0
External library support for Riviera-PRO
#258
TroySharples
opened
2 months ago
1
ValueError: '/usr/local/bin/cocotb-clean' does not start with '/usr/local/lib/python3.7/site-packages'
#257
jj319410
opened
4 months ago
2
Discussion: plus_args as a dict rather than a list
#256
manunited10
opened
5 months ago
3
`TypeError` exception when running simulation
#255
cjmeyer
opened
5 months ago
0
Verilator wave simulation requires c++20
#254
builderdev212
closed
7 months ago
2
Fix CI for verilator
#253
themperek
closed
8 months ago
0
Fix gui option for VCS
#252
SEBv15
closed
8 months ago
1
Discussion: cucumber test framework with cocotb-test
#251
jahagirdar
opened
9 months ago
0
Riviera GUI Option
#250
TroySharples
closed
10 months ago
2
Change fork to start_soon
#249
AtaraxiaZ
closed
11 months ago
1
simulator: Add support for NVC
#248
m42uko
closed
2 months ago
18
libcocotbfli_modelsim.so: wrong ELF class
#247
jkwcht
closed
1 year ago
2
Timescale should also support fs
#246
alexforencich
opened
1 year ago
1
Verilator errors (ex: lint errors when verilating) are suppressed when they should be displayed
#245
paul-demo
opened
1 year ago
0
Simulator: timescale and topmodule
#244
themperek
closed
1 year ago
1
Case sensitive architecture name fail with ghdl
#243
rafaelcorsi
closed
1 year ago
1
Draft PR: Add generic testbench CLI
#242
alexforencich
opened
1 year ago
1
for Questa/Modelsim is mixedsvvh flag necessary for verilog compilation?
#241
adambagley
opened
1 year ago
1
Incorrect cmd_build creation for VCS
#240
alina-andreevna
closed
1 year ago
4
CI: Use python 3.10 on Windows
#239
themperek
closed
1 year ago
0
Add make_args for Verilator
#238
themperek
closed
1 year ago
1
Unconditional add vhpi options to Xcelium simulator
#237
IgorAmosov
opened
1 year ago
1
Questa does not generate vsim.wlf when waves=True
#236
Roenski
closed
1 year ago
6
Vivado simulator support
#235
vborchsh
opened
1 year ago
1
non-cocotb testbenches
#234
vborchsh
closed
1 year ago
3
The `work_dir` in `simulator.py` is not optional
#233
wkkuna
closed
1 year ago
1
New pull request for #182 (verilator's make_arg)
#232
dramoz
closed
1 year ago
2
When using cocotb-run, set a timescale, if it's not set, and set color output
#231
ddribin
closed
1 year ago
2
Compile args provided to both `ghdl import` and `ghdl make`
#230
delafthi
opened
1 year ago
1
cocotb-test not working when using Altera libraries
#229
ghost
closed
1 year ago
2
Support three step simulation with VCS
#228
DavisLiu1989
opened
1 year ago
1
Fix riviera VHDL simulation (#226)
#227
javValverde
closed
1 year ago
1
Riviera VHDL simulation not working
#226
javValverde
closed
1 year ago
0
stdout does not have flush
#225
alexforencich
opened
1 year ago
0
Support arbitrarily long log lines
#224
alexforencich
closed
1 year ago
0
Improve coverage.py hand-off to cocotb
#223
alexforencich
opened
1 year ago
1
Update verilator in CI
#222
themperek
closed
1 year ago
0
Fix possible race condition in xdist
#221
themperek
closed
1 year ago
0
Use latest iverilog in CI and update readme
#220
themperek
closed
1 year ago
1
Add test and fix for long log line
#219
themperek
closed
1 year ago
0
Add more python versions to CI
#218
themperek
closed
1 year ago
0
asyncio.streams.LimitOverrunError: Separator is not found, and chunk exceed the limit
#217
alexforencich
closed
1 year ago
3
asyncio issues with cocotb-test under python 3.7
#216
alexforencich
closed
1 year ago
1
distutils DeprecationWarning with Python 3.10
#215
darsor
closed
1 year ago
1
Next