thomasrussellmurphy / istyle-verilog-formatter

Open source implementation of a Verilog formatter
GNU General Public License v2.0
174 stars 45 forks source link

Add 'generate' keyword and remove first char check of header #3

Closed trunone closed 8 years ago

trunone commented 8 years ago

I add 'generate' and 'endgenerate' keyword.

And I take off checking first char of header. I think it won't take too much time to find keyword by just using findHeader().

thomasrussellmurphy commented 8 years ago

Do you have test cases with generate and endgenerate to demonstrate the functionality of these changes?

thomasrussellmurphy commented 8 years ago

Checked updated version to https://github.com/thomasrussellmurphy/stx_cookbook/blob/master/video/eightbyeight_sad.v and this appears to work correctly. Merging.