tillitis / tillitis-key1

Board designs, FPGA verilog, firmware for TKey, the flexible and open USB security key 🔑
https://www.tillitis.se
382 stars 24 forks source link

Make testbenches self testing, and with correct exit code #185

Open secworks opened 3 months ago

secworks commented 3 months ago

The core-level testbenches should be self testing. And they should return the correct exit code depending on if all test passed or not. If all tests passed, exit using finish(). If any tests failed exit with fatal().