Open donnie-j opened 7 years ago
Hmm... those synthesis results are too small. Using Synplify Pro the design consumes 2 BRAMS, 458 DFFs, and 1300 LUTs. I wonder if some parts are getting optimized away somehow.
I belive this issue can be closed since there is a working template for project icestorm in the icestorm_template directory.
No, the template is the easy part. The problem is synthesis of the bootloader RTL results in a bitstream with incorrect logic, evidenced by impossibly small utilisation.
Oh, my bad, I wasn't getting that you were tring to synthetise the bootloader! Sorry for the noise :hushed:
Here is a patch that will allow the boot loader to synthesize with Yosys. All of the changes are simple, and allow the RTL to pass Yosys rather strict syntax (parameter, assignment) and topology checking (IO cell usage).
I have not yet tested the bitstream. The results of synthesis are: === TinyFPGA_B ===
Number of wires: 454 Number of wire bits: 1102 Number of public wires: 174 Number of public wire bits: 569 Number of memories: 0 Number of memory bits: 0 Number of processes: 0 Number of cells: 706 SB_CARRY 95 SB_DFF 54 SB_DFFE 76 SB_DFFESR 70 SB_DFFESS 46 SB_DFFSR 14 SB_DFFSS 2 SB_LUT4 346 SB_PLL40_CORE 1 SB_RAM40_4K 1 SB_WARMBOOT 1