tinyfpga / TinyFPGA-Bootloader

An open source USB bootloader for FPGAs
Apache License 2.0
357 stars 94 forks source link

added vlog_tb_utils as a submodule, as it was missing #71

Open RGD2 opened 1 year ago

RGD2 commented 1 year ago

I noticed this was needed, when I tried to run the built-in test simulations. Took a little bit of searching to figure out that there was a missing submodule, and where it was.

All this does is add it back in as a submodule so that git submodule init then git submodule update will include it.