tmatos / progres

Simulador orientado a eventos para um subset da linguagem Verilog
MIT License
1 stars 0 forks source link

Exportar a estrutura de dados do circuito como netlist em JSON #5

Open tmatos opened 6 months ago

tmatos commented 6 months ago

Criar uma função para exportar todo o circuito carregado na memória para um arquivo de netlist no formado JSON, como o gerado pelo Yosys.

Um dos objetivos disso é utilizar este JSON para visualizar os diagramas de circuitos por meio de outras ferramentas. Exemplo: https://github.com/nturley/netlistsvg