trilinos / Trilinos

Primary repository for the Trilinos Project
https://trilinos.org/
Other
1.19k stars 559 forks source link

Build error with GCC on macOS #7595

Closed sahirbutt closed 2 years ago

sahirbutt commented 4 years ago

I am trying to build Trilinos on macOS with GCC (after I gave up trying with clang #7035). I get error at following build line:

cd /Users/sahirbutt/sw/Trilinos/build/packages/teuchos/comm/test/Comm && /Users/sahirbutt/sw/opt/openmpi-4.0.3/bin/mpicxx -v -I/Users/sahirbutt/sw/Trilinos/build -I/Users/sahirbutt/sw/Trilinos/packages/teuchos/comm/src -I/Users/sahirbutt/sw/Trilinos/packages/teuchos/parameterlist/src -I/Users/sahirbutt/sw/Trilinos/packages/teuchos/parser/src -I/Users/sahirbutt/sw/Trilinos/build/packages/teuchos/core/src -I/Users/sahirbutt/sw/Trilinos/packages/teuchos/core/src -I/Users/sahirbutt/sw/Trilinos/build/packages/kokkos/core/src -I/Users/sahirbutt/sw/Trilinos/packages/kokkos/core/src -I/Users/sahirbutt/sw/Trilinos/build/packages/kokkos -I/Users/sahirbutt/sw/opt/boost_1_66_0/include -pedantic -Wall -Wno-long-long -Wwrite-strings -Wshadow -Woverloaded-virtual -O2 -std=c++11 -pedantic -ftrapv -Wall -Wno-long-long -I/usr/local/include -O3 -DNDEBUG -isysroot /Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX10.15.sdk -std=c++11 -o CMakeFiles/TeuchosComm_scatter.dir/scatter.cpp.o -c /Users/sahirbutt/sw/Trilinos/packages/teuchos/comm/test/Comm/scatter.cpp

output/error for above is:

Using built-in specs.
COLLECT_GCC=/Users/sahirbutt/sw/opt/gcc/bin/g++
Target: x86_64-apple-darwin19.5.0
Configured with: ../configure --prefix=/Users/sahirbutt/sw/opt/gcc/ --with-gmp=/Users/sahirbutt/sw/opt/gmp-6.2.0/ --with-mpfr=/Users/sahirbutt/sw/opt/mpfr-4.0.2/ --with-mpc=/Users/sahirbutt/sw/opt/mpc-1.1.0/ --with-sysroot=/Library/Developer/CommandLineTools/SDKs/MacOSX.sdk/ --with-isl=/usr/local/opt/isl/
Thread model: posix
Supported LTO compression algorithms: zlib
gcc version 11.0.0 20200626 (experimental) (GCC)
COLLECT_GCC_OPTIONS='-v' '-I' '/Users/sahirbutt/sw/Trilinos/build' '-I' '/Users/sahirbutt/sw/Trilinos/packages/teuchos/comm/src' '-I' '/Users/sahirbutt/sw/Trilinos/packages/teuchos/parameterlist/src' '-I' '/Users/sahirbutt/sw/Trilinos/packages/teuchos/parser/src' '-I' '/Users/sahirbutt/sw/Trilinos/build/packages/teuchos/core/src' '-I' '/Users/sahirbutt/sw/Trilinos/packages/teuchos/core/src' '-I' '/Users/sahirbutt/sw/Trilinos/build/packages/kokkos/core/src' '-I' '/Users/sahirbutt/sw/Trilinos/packages/kokkos/core/src' '-I' '/Users/sahirbutt/sw/Trilinos/build/packages/kokkos' '-I' '/Users/sahirbutt/sw/opt/boost_1_66_0/include' '-Wwrite-strings' '-Wshadow' '-Woverloaded-virtual' '-O2' '-std=c++11' '-Wpedantic' '-ftrapv' '-Wall' '-Wno-long-long' '-I' '/usr/local/include' '-O3' '-D' 'NDEBUG' '-isysroot' '/Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX10.15.sdk' '-std=c++11' '-o' 'CMakeFiles/TeuchosComm_scatter.dir/scatter.cpp.o' '-c' '-I' '/Users/sahirbutt/sw/opt/openmpi-4.0.3/include' '-mmacosx-version-min=10.15.0' '-asm_macosx_version_min=10.15' '-shared-libgcc' '-mtune=core2' '-dumpdir' 'CMakeFiles/TeuchosComm_scatter.dir/'
 /Users/sahirbutt/sw/opt/gcc/libexec/gcc/x86_64-apple-darwin19.5.0/11.0.0/cc1plus -quiet -v -I /Users/sahirbutt/sw/Trilinos/build -I /Users/sahirbutt/sw/Trilinos/packages/teuchos/comm/src -I /Users/sahirbutt/sw/Trilinos/packages/teuchos/parameterlist/src -I /Users/sahirbutt/sw/Trilinos/packages/teuchos/parser/src -I /Users/sahirbutt/sw/Trilinos/build/packages/teuchos/core/src -I /Users/sahirbutt/sw/Trilinos/packages/teuchos/core/src -I /Users/sahirbutt/sw/Trilinos/build/packages/kokkos/core/src -I /Users/sahirbutt/sw/Trilinos/packages/kokkos/core/src -I /Users/sahirbutt/sw/Trilinos/build/packages/kokkos -I /Users/sahirbutt/sw/opt/boost_1_66_0/include -I /usr/local/include -I /Users/sahirbutt/sw/opt/openmpi-4.0.3/include -D__DYNAMIC__ -D NDEBUG -isysroot /Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX10.15.sdk /Users/sahirbutt/sw/Trilinos/packages/teuchos/comm/test/Comm/scatter.cpp -fPIC -quiet -dumpdir CMakeFiles/TeuchosComm_scatter.dir/ -dumpbase scatter.cpp.cpp -dumpbase-ext .cpp -mmacosx-version-min=10.15.0 -mtune=core2 -O2 -O3 -Wwrite-strings -Wshadow -Woverloaded-virtual -Wpedantic -Wall -Wno-long-long -std=c++11 -std=c++11 -version -ftrapv -o /var/folders/dr/hxxs645s4k5bgmjsknxnyb8r0000gn/T//cc2ozxnZ.s
GNU C++11 (GCC) version 11.0.0 20200626 (experimental) (x86_64-apple-darwin19.5.0)
    compiled by GNU C version 11.0.0 20200626 (experimental), GMP version 6.2.0, MPFR version 4.0.2, MPC version 1.1.0, isl version isl-0.22.1-GMP

GGC heuristics: --param ggc-min-expand=30 --param ggc-min-heapsize=4096
ignoring nonexistent directory "/Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX10.15.sdk/usr/local/include"
ignoring nonexistent directory "/Users/sahirbutt/sw/opt/gcc/lib/gcc/x86_64-apple-darwin19.5.0/11.0.0/../../../../x86_64-apple-darwin19.5.0/include"
ignoring nonexistent directory "/Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX10.15.sdk/Library/Frameworks"
#include "..." search starts here:
#include <...> search starts here:
 /Users/sahirbutt/sw/Trilinos/build
 /Users/sahirbutt/sw/Trilinos/packages/teuchos/comm/src
 /Users/sahirbutt/sw/Trilinos/packages/teuchos/parameterlist/src
 /Users/sahirbutt/sw/Trilinos/packages/teuchos/parser/src
 /Users/sahirbutt/sw/Trilinos/build/packages/teuchos/core/src
 /Users/sahirbutt/sw/Trilinos/packages/teuchos/core/src
 /Users/sahirbutt/sw/Trilinos/build/packages/kokkos/core/src
 /Users/sahirbutt/sw/Trilinos/packages/kokkos/core/src
 /Users/sahirbutt/sw/Trilinos/build/packages/kokkos
 /Users/sahirbutt/sw/opt/boost_1_66_0/include
 /usr/local/include
 /Users/sahirbutt/sw/opt/openmpi-4.0.3/include
 /Users/sahirbutt/sw/opt/gcc/lib/gcc/x86_64-apple-darwin19.5.0/11.0.0/../../../../include/c++/11.0.0
 /Users/sahirbutt/sw/opt/gcc/lib/gcc/x86_64-apple-darwin19.5.0/11.0.0/../../../../include/c++/11.0.0/x86_64-apple-darwin19.5.0
 /Users/sahirbutt/sw/opt/gcc/lib/gcc/x86_64-apple-darwin19.5.0/11.0.0/../../../../include/c++/11.0.0/backward
 /Users/sahirbutt/sw/opt/gcc/lib/gcc/x86_64-apple-darwin19.5.0/11.0.0/include
 /Users/sahirbutt/sw/opt/gcc/lib/gcc/x86_64-apple-darwin19.5.0/11.0.0/include-fixed
 /Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX10.15.sdk/usr/include
 /Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX10.15.sdk/System/Library/Frameworks
End of search list.
GNU C++11 (GCC) version 11.0.0 20200626 (experimental) (x86_64-apple-darwin19.5.0)
    compiled by GNU C version 11.0.0 20200626 (experimental), GMP version 6.2.0, MPFR version 4.0.2, MPC version 1.1.0, isl version isl-0.22.1-GMP

GGC heuristics: --param ggc-min-expand=30 --param ggc-min-heapsize=4096
Compiler executable checksum: aeebe55fb2d7d3420e6c0a19bc9462a3
/Users/sahirbutt/sw/Trilinos/packages/teuchos/comm/test/Comm/scatter.cpp: In function ‘bool testScatter(bool&, std::ostream&, int, const Teuchos::Comm<int>&)’:
/Users/sahirbutt/sw/Trilinos/packages/teuchos/comm/test/Comm/scatter.cpp:76:18: error: redeclaration of ‘template<class Ordinal> class Teuchos::MpiComm’
   76 |   using Teuchos::MpiComm;
      |                  ^~~~~~~
In file included from /Users/sahirbutt/sw/Trilinos/packages/teuchos/comm/src/Teuchos_DefaultComm.hpp:48,
                 from /Users/sahirbutt/sw/Trilinos/packages/teuchos/comm/test/Comm/scatter.cpp:43:
/Users/sahirbutt/sw/Trilinos/packages/teuchos/comm/src/Teuchos_DefaultMpiComm.hpp:377:7: note: previous declaration ‘template<class Ordinal> class Teuchos::MpiComm’
  377 | class MpiComm : public Comm<Ordinal> {
      |       ^~~~~~~
/Users/sahirbutt/sw/Trilinos/packages/teuchos/comm/test/Comm/scatter.cpp: In instantiation of ‘bool testScatter(bool&, std::ostream&, int, const Teuchos::Comm<int>&) [with PacketType = int; std::ostream = std::basic_ostream<char>]’:
/Users/sahirbutt/sw/Trilinos/packages/teuchos/comm/test/Comm/scatter.cpp:203:54:   required from ‘void Comm_Scatter_UnitTest<PacketType>::runUnitTestImpl(Teuchos::FancyOStream&, bool&) const [with PacketType = int; Teuchos::FancyOStream = Teuchos::basic_FancyOStream<char>]’
/Users/sahirbutt/sw/Trilinos/packages/teuchos/comm/test/Comm/scatter.cpp:215:1:   required from here
/Users/sahirbutt/sw/Trilinos/packages/teuchos/comm/test/Comm/scatter.cpp:76:18: error: redeclaration of ‘template<class Ordinal> class Teuchos::MpiComm’
   76 |   using Teuchos::MpiComm;
      |                  ^~~~~~~
In file included from /Users/sahirbutt/sw/Trilinos/packages/teuchos/comm/src/Teuchos_DefaultComm.hpp:48,
                 from /Users/sahirbutt/sw/Trilinos/packages/teuchos/comm/test/Comm/scatter.cpp:43:
/Users/sahirbutt/sw/Trilinos/packages/teuchos/comm/src/Teuchos_DefaultMpiComm.hpp:377:7: note: previous declaration ‘template<class Ordinal> class Teuchos::MpiComm’
  377 | class MpiComm : public Comm<Ordinal> {
      |       ^~~~~~~`

I use following configuration:

cmake -D CMAKE_INSTALL_PREFIX:PATH=/Users/sahirbutt/sw/opt/Trilinos/ \
-D CMAKE_BUILD_TYPE:STRING=RELEASE \
-D CMAKE_CXX_FLAGS:STRING="-O2 -std=c++11 -pedantic -ftrapv -Wall -Wno-long-long -I/usr/local/include" \
-D CMAKE_Fortran_COMPILER:FILEPATH=/Users/sahirbutt/sw/opt/openmpi-4.0.3/bin/mpif90 \
-D TPL_ENABLE_MPI:BOOL=ON \
-D MPI_BASE_DIR:PATH=/Users/sahirbutt/sw/opt/openmpi-4.0.3 \
-D BUILD_SHARED_LIBS:BOOL=ON \
-D Trilinos_WARNINGS_AS_ERRORS_FLAGS:STRING="" \
-D Trilinos_ENABLE_ALL_PACKAGES:BOOL=OFF \
-D Trilinos_ENABLE_ALL_OPTIONAL_PACKAGES:BOOL=ON \
-D Trilinos_ENABLE_ALL_FORWARD_DEP_PACKAGES:BOOL=ON \
-D Trilinos_ENABLE_Teuchos:BOOL=ON \
-D Trilinos_ENABLE_Shards:BOOL=ON \
-D Trilinos_ENABLE_Sacado:BOOL=ON \
-D Trilinos_ENABLE_Epetra:BOOL=ON \
-D Trilinos_ENABLE_EpetraExt:BOOL=ON \
-D Trilinos_ENABLE_Ifpack:BOOL=ON \
-D Trilinos_ENABLE_AztecOO:BOOL=ON \
-D Trilinos_ENABLE_Belos:BOOL=ON \
-D Trilinos_ENABLE_Phalanx:BOOL=ON \
-D Trilinos_ENABLE_Zoltan:BOOL=ON \
-D Trilinos_ENABLE_STK:BOOL=OFF \
-D Trilinos_ENABLE_SEACAS:BOOL=ON \
-D Trilinos_ENABLE_NOX:BOOL=ON \
-D Trilinos_ENABLE_Intrepid:BOOL=ON \
-D Trilinos_ENABLE_Isorropia:BOOL=ON \
-D Trilinos_ENABLE_MueLu:BOOL=OFF \
-D Trilinos_ENABLE_FEI:BOOL=OFF \
-D Trilinos_ENABLE_Piro:BOOL=ON \
-D Trilinos_ENABLE_Pamgen:BOOL=ON \
-D Trilinos_ENABLE_PyTrilinos:BOOL=OFF \
-D Trilinos_ENABLE_EXAMPLES:BOOL=OFF \
-D Trilinos_ENABLE_TESTS:BOOL=ON \
-D Trilinos_VERBOSE_CONFIGURE:BOOL=OFF \
-D Trilinos_ASSERT_MISSING_PACKAGES:BOOL=OFF \
-D Phalanx_EXPLICIT_TEMPLATE_INSTANTIATION:BOOL=ON \
-D TPL_ENABLE_BLAS:BOOL=ON \
-D TPL_ENABLE_LAPACK:BOOL=ON \
-D TPL_LAPACK_LIBRARIES:STRING=/usr/lib/liblapack.dylib \
-D TPL_ENABLE_MATLAB:BOOL=OFF \
-D TPL_ENABLE_Matio:BOOL=OFF \
-D TPL_ENABLE_QT:BOOL=OFF \
-D TPL_ENABLE_Netcdf:BOOL=ON \
-D Netcdf_INCLUDE_DIRS:PATH=/Users/sahirbutt/sw/opt/netcdf-4.3.3.1/include \
-D Netcdf_LIBRARY_DIRS:PATH=/Users/sahirbutt/sw/opt/netcdf-4.3.3.1/lib \
-D HDF5_INCLUDE_DIRS:PATH="/Users/sahirbutt/sw/opt/hdf5-1.12.0/include" \
-D HDF5_LIBRARY_DIRS:PATH="/Users/sahirbutt/sw/opt/hdf5-1.12.0/lib" \
-D TPL_ENABLE_Boost:BOOL=ON \
-D Boost_INCLUDE_DIRS:PATH=/Users/sahirbutt/sw/opt/boost_1_66_0/include \
-D Boost_LIBRARY_DIRS:PATH=/Users/sahirbutt/sw/opt/boost_1_66_0/lib \
-D X11_LIBRARY_DIRS=/opt/X11/lib \
-D X11_INCLUDE_DIRS=/opt/X11/include \
..
sahirbutt commented 4 years ago

Any suggestion?

cgcgcg commented 4 years ago

I don't think I can help, but maybe post a configure log as well?

sahirbutt commented 4 years ago

Output from configure:

Configuring Trilinos build directory

-- PROJECT_SOURCE_DIR='/Users/sahirbutt/sw/Trilinos'
-- PROJECT_BINARY_DIR='/Users/sahirbutt/sw/Trilinos/build'
-- Trilinos_TRIBITS_DIR='/Users/sahirbutt/sw/Trilinos/cmake/tribits'
-- TriBITS_VERSION_STRING='0.9 (Dev)'
-- CMAKE_VERSION='3.17.3'
-- CMAKE_GENERATOR='Unix Makefiles'
-- CMAKE_HOST_SYSTEM_NAME='Darwin'
-- Trilinos_HOSTNAME='Sahirs-MacBook-Pro.local'
-- Found PythonInterp: /usr/bin/python (found suitable version "2.7.16", minimum required is "2.6")
-- PYTHON_EXECUTABLE='/usr/bin/python'
-- Found Git: /usr/local/bin/git (found version "2.17.1")

Setting up major user options ...

-- Setting Trilinos_ENABLE_TriKota=OFF because '/Users/sahirbutt/sw/Trilinos/packages/TriKota/Dakota' does not exist!

Trilinos repos versions:
--------------------------------------------------------------------------------
*** Base Git Repo: Trilinos
124bdd9b2b [Wed Jun 24 04:38:03 2020 -0600] <trilinos@sandia.gov>
Merge Pull Request #7580 from trilinos/Trilinos/master_merge_20200624_000616
 --------------------------------------------------------------------------------

Reading list of native packages from /Users/sahirbutt/sw/Trilinos/PackagesList.cmake

-- Trilinos_NUM_PACKAGES='61'

Reading list of native TPLs from /Users/sahirbutt/sw/Trilinos/TPLsList.cmake

-- Trilinos_NUM_TPLS='114'

Processing Project, Repository, and Package dependency files and building internal dependencies graph ...

-- Trilinos_NUM_SE_PACKAGES='154'
-- Tentatively enabling TPL 'DLlib'

Explicitly enabled packages on input (by user):  Teuchos Sacado Epetra Zoltan Shards EpetraExt Isorropia AztecOO Pamgen Ifpack Belos SEACAS Intrepid Phalanx NOX Piro 16

Explicitly enabled SE packages on input (by user):  Teuchos Sacado Epetra Zoltan Shards EpetraExt Isorropia AztecOO Pamgen Ifpack Belos SEACAS Intrepid Phalanx NOX Piro 16

Explicitly disabled packages on input (by user or by default):  FEI TriKota STK MueLu PyTrilinos NewPackage 6

Explicitly disabled SE packages on input (by user or by default):  ShyLU_NodeBasker ShyLU_NodeFastILU SEACASExotec2 SEACASSlice FEI TriKota STKNGP STK MueLu ShyLU_DDCore PanzerExprEval PyTrilinos NewPackage 13

Explicitly enabled TPLs on input (by user):  MPI BLAS LAPACK Boost Netcdf DLlib 6

Explicitly disabled TPLs on input (by user or by default):  MATLAB QT Matio 3

Disabling all packages that have a required dependency on disabled TPLs and optional package TPL support based on TPL_ENABLE_<TPL>=OFF ...

-- Setting Trilinos_ENABLE_SEACASExo2mat=OFF because SEACASExo2mat has a required library dependence on disabled TPL Matio
-- Setting Trilinos_ENABLE_SEACASMat2exo=OFF because SEACASMat2exo has a required library dependence on disabled TPL Matio

Disabling subpackages for hard disables of parent packages due to Trilinos_ENABLE_<PARENT_PACKAGE>=OFF ...

-- Setting subpackage enable Trilinos_ENABLE_STKMath=OFF because parent package Trilinos_ENABLE_STK=OFF
-- Setting subpackage enable Trilinos_ENABLE_STKUtil=OFF because parent package Trilinos_ENABLE_STK=OFF
-- Setting subpackage enable Trilinos_ENABLE_STKSimd=OFF because parent package Trilinos_ENABLE_STK=OFF
-- Setting subpackage enable Trilinos_ENABLE_STKTopology=OFF because parent package Trilinos_ENABLE_STK=OFF
-- Setting subpackage enable Trilinos_ENABLE_STKMesh=OFF because parent package Trilinos_ENABLE_STK=OFF
-- Setting subpackage enable Trilinos_ENABLE_STKIO=OFF because parent package Trilinos_ENABLE_STK=OFF
-- Setting subpackage enable Trilinos_ENABLE_STKNGP_TEST=OFF because parent package Trilinos_ENABLE_STK=OFF
-- Setting subpackage enable Trilinos_ENABLE_STKUnit_test_utils=OFF because parent package Trilinos_ENABLE_STK=OFF
-- Setting subpackage enable Trilinos_ENABLE_STKSearch=OFF because parent package Trilinos_ENABLE_STK=OFF
-- Setting subpackage enable Trilinos_ENABLE_STKSearchUtil=OFF because parent package Trilinos_ENABLE_STK=OFF
-- Setting subpackage enable Trilinos_ENABLE_STKTransfer=OFF because parent package Trilinos_ENABLE_STK=OFF
-- Setting subpackage enable Trilinos_ENABLE_STKTools=OFF because parent package Trilinos_ENABLE_STK=OFF
-- Setting subpackage enable Trilinos_ENABLE_STKBalance=OFF because parent package Trilinos_ENABLE_STK=OFF
-- Setting subpackage enable Trilinos_ENABLE_STKUnit_tests=OFF because parent package Trilinos_ENABLE_STK=OFF
-- Setting subpackage enable Trilinos_ENABLE_STKDoc_tests=OFF because parent package Trilinos_ENABLE_STK=OFF
-- Setting subpackage enable Trilinos_ENABLE_STKExprEval=OFF because parent package Trilinos_ENABLE_STK=OFF

Disabling forward required SE packages and optional intra-package support that have a dependancy on disabled SE packages Trilinos_ENABLE_<TRIBITS_PACKAGE>=OFF ...

-- Setting SEACAS_ENABLE_SEACASExo2mat=OFF because SEACAS has an optional library dependence on disabled package SEACASExo2mat
-- Setting SEACAS_ENABLE_SEACASExotec2=OFF because SEACAS has an optional library dependence on disabled package SEACASExotec2
-- Setting SEACAS_ENABLE_SEACASMat2exo=OFF because SEACAS has an optional library dependence on disabled package SEACASMat2exo
-- Setting SEACAS_ENABLE_SEACASSlice=OFF because SEACAS has an optional library dependence on disabled package SEACASSlice
-- Setting Piro_ENABLE_TriKota=OFF because Piro has an optional library dependence on disabled package TriKota
-- Setting Trilinos_ENABLE_Percept=OFF because Percept has a required library dependence on disabled package STKUtil
-- Setting Trilinos_ENABLE_PanzerAdaptersSTK=OFF because PanzerAdaptersSTK has a required library dependence on disabled package STKUtil
-- Setting Trilinos_ENABLE_PanzerMiniEM=OFF because PanzerMiniEM has a required library dependence on disabled package MueLu
-- Setting Piro_ENABLE_MueLu=OFF because Piro has an optional library dependence on disabled package MueLu

Enabling subpackages for hard enables of parent packages due to Trilinos_ENABLE_<PARENT_PACKAGE>=ON ...

-- Setting subpackage enable Trilinos_ENABLE_TeuchosCore=ON because parent package Trilinos_ENABLE_Teuchos=ON
-- Setting subpackage enable Trilinos_ENABLE_TeuchosParser=ON because parent package Trilinos_ENABLE_Teuchos=ON
-- Setting subpackage enable Trilinos_ENABLE_TeuchosParameterList=ON because parent package Trilinos_ENABLE_Teuchos=ON
-- Setting subpackage enable Trilinos_ENABLE_TeuchosComm=ON because parent package Trilinos_ENABLE_Teuchos=ON
-- Setting subpackage enable Trilinos_ENABLE_TeuchosNumerics=ON because parent package Trilinos_ENABLE_Teuchos=ON
-- Setting subpackage enable Trilinos_ENABLE_TeuchosRemainder=ON because parent package Trilinos_ENABLE_Teuchos=ON
-- Setting subpackage enable Trilinos_ENABLE_TeuchosKokkosCompat=ON because parent package Trilinos_ENABLE_Teuchos=ON
-- Setting subpackage enable Trilinos_ENABLE_TeuchosKokkosComm=ON because parent package Trilinos_ENABLE_Teuchos=ON
-- Setting subpackage enable Trilinos_ENABLE_SEACASExodus=ON because parent package Trilinos_ENABLE_SEACAS=ON
-- Setting subpackage enable Trilinos_ENABLE_SEACASExodus_for=ON because parent package Trilinos_ENABLE_SEACAS=ON
-- Setting subpackage enable Trilinos_ENABLE_SEACASExoIIv2for32=ON because parent package Trilinos_ENABLE_SEACAS=ON
-- Setting subpackage enable Trilinos_ENABLE_SEACASNemesis=ON because parent package Trilinos_ENABLE_SEACAS=ON
-- Setting subpackage enable Trilinos_ENABLE_SEACASIoss=ON because parent package Trilinos_ENABLE_SEACAS=ON
-- Setting subpackage enable Trilinos_ENABLE_SEACASChaco=ON because parent package Trilinos_ENABLE_SEACAS=ON
-- Setting subpackage enable Trilinos_ENABLE_SEACASAprepro_lib=ON because parent package Trilinos_ENABLE_SEACAS=ON
-- Setting subpackage enable Trilinos_ENABLE_SEACASSupes=ON because parent package Trilinos_ENABLE_SEACAS=ON
-- Setting subpackage enable Trilinos_ENABLE_SEACASSuplib=ON because parent package Trilinos_ENABLE_SEACAS=ON
-- Setting subpackage enable Trilinos_ENABLE_SEACASSuplibC=ON because parent package Trilinos_ENABLE_SEACAS=ON
-- Setting subpackage enable Trilinos_ENABLE_SEACASSuplibCpp=ON because parent package Trilinos_ENABLE_SEACAS=ON
-- Setting subpackage enable Trilinos_ENABLE_SEACASSVDI=ON because parent package Trilinos_ENABLE_SEACAS=ON
-- Setting subpackage enable Trilinos_ENABLE_SEACASPLT=ON because parent package Trilinos_ENABLE_SEACAS=ON
-- Setting subpackage enable Trilinos_ENABLE_SEACASAlgebra=ON because parent package Trilinos_ENABLE_SEACAS=ON
-- Setting subpackage enable Trilinos_ENABLE_SEACASAprepro=ON because parent package Trilinos_ENABLE_SEACAS=ON
-- Setting subpackage enable Trilinos_ENABLE_SEACASBlot=ON because parent package Trilinos_ENABLE_SEACAS=ON
-- Setting subpackage enable Trilinos_ENABLE_SEACASConjoin=ON because parent package Trilinos_ENABLE_SEACAS=ON
-- Setting subpackage enable Trilinos_ENABLE_SEACASEjoin=ON because parent package Trilinos_ENABLE_SEACAS=ON
-- Setting subpackage enable Trilinos_ENABLE_SEACASEpu=ON because parent package Trilinos_ENABLE_SEACAS=ON
-- Setting subpackage enable Trilinos_ENABLE_SEACASExodiff=ON because parent package Trilinos_ENABLE_SEACAS=ON
-- Setting subpackage enable Trilinos_ENABLE_SEACASExomatlab=ON because parent package Trilinos_ENABLE_SEACAS=ON
-- Setting subpackage enable Trilinos_ENABLE_SEACASExotxt=ON because parent package Trilinos_ENABLE_SEACAS=ON
-- Setting subpackage enable Trilinos_ENABLE_SEACASExo_format=ON because parent package Trilinos_ENABLE_SEACAS=ON
-- Setting subpackage enable Trilinos_ENABLE_SEACASEx1ex2v2=ON because parent package Trilinos_ENABLE_SEACAS=ON
-- Setting subpackage enable Trilinos_ENABLE_SEACASFastq=ON because parent package Trilinos_ENABLE_SEACAS=ON
-- Setting subpackage enable Trilinos_ENABLE_SEACASGjoin=ON because parent package Trilinos_ENABLE_SEACAS=ON
-- Setting subpackage enable Trilinos_ENABLE_SEACASGen3D=ON because parent package Trilinos_ENABLE_SEACAS=ON
-- Setting subpackage enable Trilinos_ENABLE_SEACASGenshell=ON because parent package Trilinos_ENABLE_SEACAS=ON
-- Setting subpackage enable Trilinos_ENABLE_SEACASGrepos=ON because parent package Trilinos_ENABLE_SEACAS=ON
-- Setting subpackage enable Trilinos_ENABLE_SEACASExplore=ON because parent package Trilinos_ENABLE_SEACAS=ON
-- Setting subpackage enable Trilinos_ENABLE_SEACASMapvarlib=ON because parent package Trilinos_ENABLE_SEACAS=ON
-- Setting subpackage enable Trilinos_ENABLE_SEACASMapvar=ON because parent package Trilinos_ENABLE_SEACAS=ON
-- Setting subpackage enable Trilinos_ENABLE_SEACASMapvar-kd=ON because parent package Trilinos_ENABLE_SEACAS=ON
-- Setting subpackage enable Trilinos_ENABLE_SEACASNemslice=ON because parent package Trilinos_ENABLE_SEACAS=ON
-- Setting subpackage enable Trilinos_ENABLE_SEACASNemspread=ON because parent package Trilinos_ENABLE_SEACAS=ON
-- Setting subpackage enable Trilinos_ENABLE_SEACASNumbers=ON because parent package Trilinos_ENABLE_SEACAS=ON
-- Setting subpackage enable Trilinos_ENABLE_SEACASTxtexo=ON because parent package Trilinos_ENABLE_SEACAS=ON
-- Setting subpackage enable Trilinos_ENABLE_SEACASEx2ex1v2=ON because parent package Trilinos_ENABLE_SEACAS=ON

Sweep forward enabling all forward library dependent packages because Trilinos_ENABLE_ALL_FORWARD_DEP_PACKAGES=ON ...

-- Setting Trilinos_ENABLE_RTOp=ON because Trilinos_ENABLE_TeuchosCore=ON
-- Setting Trilinos_ENABLE_MiniTensor=ON because Trilinos_ENABLE_TeuchosCore=ON
-- Setting Trilinos_ENABLE_Triutils=ON because Trilinos_ENABLE_TeuchosCore=ON
-- Setting Trilinos_ENABLE_ThyraCore=ON because Trilinos_ENABLE_TeuchosCore=ON
-- Setting Trilinos_ENABLE_Zoltan2=ON because Trilinos_ENABLE_TeuchosCore=ON
-- Setting Trilinos_ENABLE_Intrepid2=ON because Trilinos_ENABLE_TeuchosCore=ON
-- Setting Trilinos_ENABLE_Tempus=ON because Trilinos_ENABLE_TeuchosCore=ON
-- Setting Trilinos_ENABLE_PanzerCore=ON because Trilinos_ENABLE_TeuchosCore=ON
-- Setting Trilinos_ENABLE_PanzerDofMgr=ON because Trilinos_ENABLE_TeuchosCore=ON
-- Setting Trilinos_ENABLE_PanzerDiscFE=ON because Trilinos_ENABLE_TeuchosCore=ON
-- Setting Trilinos_ENABLE_PikeBlackBox=ON because Trilinos_ENABLE_TeuchosCore=ON
-- Setting Trilinos_ENABLE_PikeImplicit=ON because Trilinos_ENABLE_TeuchosCore=ON
-- Setting Trilinos_ENABLE_TpetraCore=ON because Trilinos_ENABLE_TeuchosNumerics=ON
-- Setting Trilinos_ENABLE_TpetraClassic=ON because Trilinos_ENABLE_TeuchosKokkosCompat=ON
-- Setting Trilinos_ENABLE_Domi=ON because Trilinos_ENABLE_TeuchosKokkosCompat=ON
-- Setting Trilinos_ENABLE_Stokhos=ON because Trilinos_ENABLE_TeuchosKokkosComm=ON
-- Setting Trilinos_ENABLE_TpetraTSQR=ON because Trilinos_ENABLE_Teuchos=ON
-- Setting Trilinos_ENABLE_Xpetra=ON because Trilinos_ENABLE_Teuchos=ON
-- Setting Trilinos_ENABLE_Galeri=ON because Trilinos_ENABLE_Teuchos=ON
-- Setting Trilinos_ENABLE_Amesos=ON because Trilinos_ENABLE_Teuchos=ON
-- Setting Trilinos_ENABLE_Amesos2=ON because Trilinos_ENABLE_Teuchos=ON
-- Setting Trilinos_ENABLE_Komplex=ON because Trilinos_ENABLE_Teuchos=ON
-- Setting Trilinos_ENABLE_Anasazi=ON because Trilinos_ENABLE_Teuchos=ON
-- Setting Trilinos_ENABLE_Ifpack2=ON because Trilinos_ENABLE_Teuchos=ON
-- Setting Trilinos_ENABLE_Teko=ON because Trilinos_ENABLE_Teuchos=ON
-- Setting Trilinos_ENABLE_Moertel=ON because Trilinos_ENABLE_Teuchos=ON
-- Setting Trilinos_ENABLE_ShyLU_DDBDDC=ON because Trilinos_ENABLE_Teuchos=ON
-- Setting Trilinos_ENABLE_ShyLU_DDFROSch=ON because Trilinos_ENABLE_Teuchos=ON
-- Setting Trilinos_ENABLE_Rythmos=ON because Trilinos_ENABLE_Teuchos=ON
-- Setting Trilinos_ENABLE_ROL=ON because Trilinos_ENABLE_Teuchos=ON
-- Setting Trilinos_ENABLE_ML=ON because Trilinos_ENABLE_Teuchos=ON
-- Setting Trilinos_ENABLE_ThyraEpetraAdapters=ON because Trilinos_ENABLE_Epetra=ON
-- Setting Trilinos_ENABLE_ThyraEpetraExtAdapters=ON because Trilinos_ENABLE_Epetra=ON
-- Setting Trilinos_ENABLE_Pliris=ON because Trilinos_ENABLE_Epetra=ON
-- Setting Trilinos_ENABLE_TrilinosCouplings=ON because Trilinos_ENABLE_Zoltan=ON
-- Setting Trilinos_ENABLE_Stratimikos=ON because Trilinos_ENABLE_EpetraExt=ON
-- Setting Trilinos_ENABLE_Tpetra=ON because Trilinos_ENABLE_TpetraClassic=ON
-- Setting Trilinos_ENABLE_ThyraTpetraAdapters=ON because Trilinos_ENABLE_Tpetra=ON
-- Setting Trilinos_ENABLE_Thyra=ON because Trilinos_ENABLE_ThyraCore=ON
-- Setting Trilinos_ENABLE_ShyLU_DD=ON because Trilinos_ENABLE_ShyLU_DDBDDC=ON
-- Setting Trilinos_ENABLE_ShyLU=ON because Trilinos_ENABLE_ShyLU_DD=ON
-- Setting Trilinos_ENABLE_Panzer=ON because Trilinos_ENABLE_PanzerCore=ON
-- Setting Trilinos_ENABLE_Pike=ON because Trilinos_ENABLE_PikeBlackBox=ON

Sweep backward enabling all forward test dependent packages because Trilinos_ENABLE_ALL_FORWARD_DEP_PACKAGES=ON ...

Enabling all tests and/or examples that have not been explicitly disabled because Trilinos_ENABLE_[TESTS,EXAMPLES]=ON ...

-- Setting TeuchosCore_ENABLE_TESTS=ON
-- Setting TeuchosCore_ENABLE_EXAMPLES=OFF
-- Setting TeuchosParser_ENABLE_TESTS=ON
-- Setting TeuchosParser_ENABLE_EXAMPLES=OFF
-- Setting TeuchosParameterList_ENABLE_TESTS=ON
-- Setting TeuchosParameterList_ENABLE_EXAMPLES=OFF
-- Setting TeuchosComm_ENABLE_TESTS=ON
-- Setting TeuchosComm_ENABLE_EXAMPLES=OFF
-- Setting TeuchosNumerics_ENABLE_TESTS=ON
-- Setting TeuchosNumerics_ENABLE_EXAMPLES=OFF
-- Setting TeuchosRemainder_ENABLE_TESTS=ON
-- Setting TeuchosRemainder_ENABLE_EXAMPLES=OFF
-- Setting TeuchosKokkosCompat_ENABLE_TESTS=ON
-- Setting TeuchosKokkosCompat_ENABLE_EXAMPLES=OFF
-- Setting TeuchosKokkosComm_ENABLE_TESTS=ON
-- Setting TeuchosKokkosComm_ENABLE_EXAMPLES=OFF
-- Setting Teuchos_ENABLE_TESTS=ON
-- Setting Teuchos_ENABLE_EXAMPLES=OFF
-- Setting RTOp_ENABLE_TESTS=ON
-- Setting RTOp_ENABLE_EXAMPLES=OFF
-- Setting Sacado_ENABLE_TESTS=ON
-- Setting Sacado_ENABLE_EXAMPLES=OFF
-- Setting MiniTensor_ENABLE_TESTS=ON
-- Setting MiniTensor_ENABLE_EXAMPLES=OFF
-- Setting Epetra_ENABLE_TESTS=ON
-- Setting Epetra_ENABLE_EXAMPLES=OFF
-- Setting Zoltan_ENABLE_TESTS=ON
-- Setting Zoltan_ENABLE_EXAMPLES=OFF
-- Setting Shards_ENABLE_TESTS=ON
-- Setting Shards_ENABLE_EXAMPLES=OFF
-- Setting Triutils_ENABLE_TESTS=ON
-- Setting Triutils_ENABLE_EXAMPLES=OFF
-- Setting EpetraExt_ENABLE_TESTS=ON
-- Setting EpetraExt_ENABLE_EXAMPLES=OFF
-- Setting TpetraClassic_ENABLE_TESTS=ON
-- Setting TpetraClassic_ENABLE_EXAMPLES=OFF
-- Setting TpetraTSQR_ENABLE_TESTS=ON
-- Setting TpetraTSQR_ENABLE_EXAMPLES=OFF
-- Setting TpetraCore_ENABLE_TESTS=ON
-- Setting TpetraCore_ENABLE_EXAMPLES=OFF
-- Setting Tpetra_ENABLE_TESTS=ON
-- Setting Tpetra_ENABLE_EXAMPLES=OFF
-- Setting Domi_ENABLE_TESTS=ON
-- Setting Domi_ENABLE_EXAMPLES=OFF
-- Setting ThyraCore_ENABLE_TESTS=ON
-- Setting ThyraCore_ENABLE_EXAMPLES=OFF
-- Setting ThyraEpetraAdapters_ENABLE_TESTS=ON
-- Setting ThyraEpetraAdapters_ENABLE_EXAMPLES=OFF
-- Setting ThyraEpetraExtAdapters_ENABLE_TESTS=ON
-- Setting ThyraEpetraExtAdapters_ENABLE_EXAMPLES=OFF
-- Setting ThyraTpetraAdapters_ENABLE_TESTS=ON
-- Setting ThyraTpetraAdapters_ENABLE_EXAMPLES=OFF
-- Setting Thyra_ENABLE_TESTS=ON
-- Setting Thyra_ENABLE_EXAMPLES=OFF
-- Setting Xpetra_ENABLE_TESTS=ON
-- Setting Xpetra_ENABLE_EXAMPLES=OFF
-- Setting Isorropia_ENABLE_TESTS=ON
-- Setting Isorropia_ENABLE_EXAMPLES=OFF
-- Setting Pliris_ENABLE_TESTS=ON
-- Setting Pliris_ENABLE_EXAMPLES=OFF
-- Setting AztecOO_ENABLE_TESTS=ON
-- Setting AztecOO_ENABLE_EXAMPLES=OFF
-- Setting Galeri_ENABLE_TESTS=ON
-- Setting Galeri_ENABLE_EXAMPLES=OFF
-- Setting Amesos_ENABLE_TESTS=ON
-- Setting Amesos_ENABLE_EXAMPLES=OFF
-- Setting Pamgen_ENABLE_TESTS=ON
-- Setting Pamgen_ENABLE_EXAMPLES=OFF
-- Setting Zoltan2_ENABLE_TESTS=ON
-- Setting Zoltan2_ENABLE_EXAMPLES=OFF
-- Setting Ifpack_ENABLE_TESTS=ON
-- Setting Ifpack_ENABLE_EXAMPLES=OFF
-- Setting ML_ENABLE_TESTS=ON
-- Setting ML_ENABLE_EXAMPLES=OFF
-- Setting Belos_ENABLE_TESTS=ON
-- Setting Belos_ENABLE_EXAMPLES=OFF
-- Setting Amesos2_ENABLE_TESTS=ON
-- Setting Amesos2_ENABLE_EXAMPLES=OFF
-- Setting SEACASExodus_ENABLE_TESTS=ON
-- Setting SEACASExodus_ENABLE_EXAMPLES=OFF
-- Setting SEACASExodus_for_ENABLE_TESTS=ON
-- Setting SEACASExodus_for_ENABLE_EXAMPLES=OFF
-- Setting SEACASExoIIv2for32_ENABLE_TESTS=ON
-- Setting SEACASExoIIv2for32_ENABLE_EXAMPLES=OFF
-- Setting SEACASNemesis_ENABLE_TESTS=ON
-- Setting SEACASNemesis_ENABLE_EXAMPLES=OFF
-- Setting SEACASIoss_ENABLE_TESTS=ON
-- Setting SEACASIoss_ENABLE_EXAMPLES=OFF
-- Setting SEACASChaco_ENABLE_TESTS=ON
-- Setting SEACASChaco_ENABLE_EXAMPLES=OFF
-- Setting SEACASAprepro_lib_ENABLE_TESTS=ON
-- Setting SEACASAprepro_lib_ENABLE_EXAMPLES=OFF
-- Setting SEACASSupes_ENABLE_TESTS=ON
-- Setting SEACASSupes_ENABLE_EXAMPLES=OFF
-- Setting SEACASSuplib_ENABLE_TESTS=ON
-- Setting SEACASSuplib_ENABLE_EXAMPLES=OFF
-- Setting SEACASSuplibC_ENABLE_TESTS=ON
-- Setting SEACASSuplibC_ENABLE_EXAMPLES=OFF
-- Setting SEACASSuplibCpp_ENABLE_TESTS=ON
-- Setting SEACASSuplibCpp_ENABLE_EXAMPLES=OFF
-- Setting SEACASSVDI_ENABLE_TESTS=ON
-- Setting SEACASSVDI_ENABLE_EXAMPLES=OFF
-- Setting SEACASPLT_ENABLE_TESTS=ON
-- Setting SEACASPLT_ENABLE_EXAMPLES=OFF
-- Setting SEACASAlgebra_ENABLE_TESTS=ON
-- Setting SEACASAlgebra_ENABLE_EXAMPLES=OFF
-- Setting SEACASAprepro_ENABLE_TESTS=ON
-- Setting SEACASAprepro_ENABLE_EXAMPLES=OFF
-- Setting SEACASBlot_ENABLE_TESTS=ON
-- Setting SEACASBlot_ENABLE_EXAMPLES=OFF
-- Setting SEACASConjoin_ENABLE_TESTS=ON
-- Setting SEACASConjoin_ENABLE_EXAMPLES=OFF
-- Setting SEACASEjoin_ENABLE_TESTS=ON
-- Setting SEACASEjoin_ENABLE_EXAMPLES=OFF
-- Setting SEACASEpu_ENABLE_TESTS=ON
-- Setting SEACASEpu_ENABLE_EXAMPLES=OFF
-- Setting SEACASExodiff_ENABLE_TESTS=ON
-- Setting SEACASExodiff_ENABLE_EXAMPLES=OFF
-- Setting SEACASExomatlab_ENABLE_TESTS=ON
-- Setting SEACASExomatlab_ENABLE_EXAMPLES=OFF
-- Setting SEACASExotxt_ENABLE_TESTS=ON
-- Setting SEACASExotxt_ENABLE_EXAMPLES=OFF
-- Setting SEACASExo_format_ENABLE_TESTS=ON
-- Setting SEACASExo_format_ENABLE_EXAMPLES=OFF
-- Setting SEACASEx1ex2v2_ENABLE_TESTS=ON
-- Setting SEACASEx1ex2v2_ENABLE_EXAMPLES=OFF
-- Setting SEACASFastq_ENABLE_TESTS=ON
-- Setting SEACASFastq_ENABLE_EXAMPLES=OFF
-- Setting SEACASGjoin_ENABLE_TESTS=ON
-- Setting SEACASGjoin_ENABLE_EXAMPLES=OFF
-- Setting SEACASGen3D_ENABLE_TESTS=ON
-- Setting SEACASGen3D_ENABLE_EXAMPLES=OFF
-- Setting SEACASGenshell_ENABLE_TESTS=ON
-- Setting SEACASGenshell_ENABLE_EXAMPLES=OFF
-- Setting SEACASGrepos_ENABLE_TESTS=ON
-- Setting SEACASGrepos_ENABLE_EXAMPLES=OFF
-- Setting SEACASExplore_ENABLE_TESTS=ON
-- Setting SEACASExplore_ENABLE_EXAMPLES=OFF
-- Setting SEACASMapvarlib_ENABLE_TESTS=ON
-- Setting SEACASMapvarlib_ENABLE_EXAMPLES=OFF
-- Setting SEACASMapvar_ENABLE_TESTS=ON
-- Setting SEACASMapvar_ENABLE_EXAMPLES=OFF
-- Setting SEACASMapvar-kd_ENABLE_TESTS=ON
-- Setting SEACASMapvar-kd_ENABLE_EXAMPLES=OFF
-- Setting SEACASNemslice_ENABLE_TESTS=ON
-- Setting SEACASNemslice_ENABLE_EXAMPLES=OFF
-- Setting SEACASNemspread_ENABLE_TESTS=ON
-- Setting SEACASNemspread_ENABLE_EXAMPLES=OFF
-- Setting SEACASNumbers_ENABLE_TESTS=ON
-- Setting SEACASNumbers_ENABLE_EXAMPLES=OFF
-- Setting SEACASTxtexo_ENABLE_TESTS=ON
-- Setting SEACASTxtexo_ENABLE_EXAMPLES=OFF
-- Setting SEACASEx2ex1v2_ENABLE_TESTS=ON
-- Setting SEACASEx2ex1v2_ENABLE_EXAMPLES=OFF
-- Setting SEACAS_ENABLE_TESTS=ON
-- Setting SEACAS_ENABLE_EXAMPLES=OFF
-- Setting Komplex_ENABLE_TESTS=ON
-- Setting Komplex_ENABLE_EXAMPLES=OFF
-- Setting Anasazi_ENABLE_TESTS=ON
-- Setting Anasazi_ENABLE_EXAMPLES=OFF
-- Setting Ifpack2_ENABLE_TESTS=ON
-- Setting Ifpack2_ENABLE_EXAMPLES=OFF
-- Setting Stratimikos_ENABLE_TESTS=ON
-- Setting Stratimikos_ENABLE_EXAMPLES=OFF
-- Setting Teko_ENABLE_TESTS=ON
-- Setting Teko_ENABLE_EXAMPLES=OFF
-- Setting Intrepid_ENABLE_TESTS=ON
-- Setting Intrepid_ENABLE_EXAMPLES=OFF
-- Setting Intrepid2_ENABLE_TESTS=ON
-- Setting Intrepid2_ENABLE_EXAMPLES=OFF
-- Setting Phalanx_ENABLE_TESTS=ON
-- Setting Phalanx_ENABLE_EXAMPLES=OFF
-- Setting NOX_ENABLE_TESTS=ON
-- Setting NOX_ENABLE_EXAMPLES=OFF
-- Setting Moertel_ENABLE_TESTS=ON
-- Setting Moertel_ENABLE_EXAMPLES=OFF
-- Setting ShyLU_DDBDDC_ENABLE_TESTS=ON
-- Setting ShyLU_DDBDDC_ENABLE_EXAMPLES=OFF
-- Setting ShyLU_DDFROSch_ENABLE_TESTS=ON
-- Setting ShyLU_DDFROSch_ENABLE_EXAMPLES=OFF
-- Setting ShyLU_DD_ENABLE_TESTS=ON
-- Setting ShyLU_DD_ENABLE_EXAMPLES=OFF
-- Setting ShyLU_ENABLE_TESTS=ON
-- Setting ShyLU_ENABLE_EXAMPLES=OFF
-- Setting Rythmos_ENABLE_TESTS=ON
-- Setting Rythmos_ENABLE_EXAMPLES=OFF
-- Setting Tempus_ENABLE_TESTS=ON
-- Setting Tempus_ENABLE_EXAMPLES=OFF
-- Setting Stokhos_ENABLE_TESTS=ON
-- Setting Stokhos_ENABLE_EXAMPLES=OFF
-- Setting ROL_ENABLE_TESTS=ON
-- Setting ROL_ENABLE_EXAMPLES=OFF
-- Setting Piro_ENABLE_TESTS=ON
-- Setting Piro_ENABLE_EXAMPLES=OFF
-- Setting PanzerCore_ENABLE_TESTS=ON
-- Setting PanzerCore_ENABLE_EXAMPLES=OFF
-- Setting PanzerDofMgr_ENABLE_TESTS=ON
-- Setting PanzerDofMgr_ENABLE_EXAMPLES=OFF
-- Setting PanzerDiscFE_ENABLE_TESTS=ON
-- Setting PanzerDiscFE_ENABLE_EXAMPLES=OFF
-- Setting Panzer_ENABLE_TESTS=ON
-- Setting Panzer_ENABLE_EXAMPLES=OFF
-- Setting TrilinosCouplings_ENABLE_TESTS=ON
-- Setting TrilinosCouplings_ENABLE_EXAMPLES=OFF
-- Setting PikeBlackBox_ENABLE_TESTS=ON
-- Setting PikeBlackBox_ENABLE_EXAMPLES=OFF
-- Setting PikeImplicit_ENABLE_TESTS=ON
-- Setting PikeImplicit_ENABLE_EXAMPLES=OFF
-- Setting Pike_ENABLE_TESTS=ON
-- Setting Pike_ENABLE_EXAMPLES=OFF

Enabling all required (and optional since Trilinos_ENABLE_ALL_OPTIONAL_PACKAGES=ON) upstream SE packages for current set of enabled packages (Trilinos_ENABLE_SECONDARY_TESTED_CODE=ON) ...

-- Setting Trilinos_ENABLE_KokkosContainers=ON because TrilinosCouplings has an optional dependence on KokkosContainers
-- Setting Trilinos_ENABLE_KokkosCore=ON because TrilinosCouplings has an optional dependence on KokkosCore
-- Setting Trilinos_ENABLE_KokkosKernels=ON because TrilinosCouplings has an optional dependence on KokkosKernels
-- Setting Trilinos_ENABLE_Gtest=ON because ROL has an optional dependence on Gtest
-- Setting Trilinos_ENABLE_KokkosAlgorithms=ON because Stokhos has an optional dependence on KokkosAlgorithms
-- Setting Trilinos_ENABLE_ShyLU_Node=ON because ShyLU has a required dependence on ShyLU_Node
-- Setting Trilinos_ENABLE_ShyLU_DDCommon=ON because ShyLU_DD has an optional dependence on ShyLU_DDCommon
-- Setting Trilinos_ENABLE_ShyLU_NodeTacho=ON because ShyLU_DDBDDC has an optional dependence on ShyLU_NodeTacho
-- Setting Trilinos_ENABLE_Kokkos=ON because Moertel has an optional dependence on Kokkos
-- Setting Trilinos_ENABLE_ShyLU_NodeHTS=ON because Ifpack2 has an optional dependence on ShyLU_NodeHTS
-- Setting Trilinos_ENABLE_TrilinosSS=ON because Amesos2 has a required dependence on TrilinosSS

Enabling all optional intra-package enables <TRIBITS_PACKAGE>_ENABLE_<DEPPACKAGE> that are not currently disabled if both sets of packages are enabled ...

-- Setting Kokkos_ENABLE_KokkosContainers=ON since Trilinos_ENABLE_Kokkos=ON AND Trilinos_ENABLE_KokkosContainers=ON
-- Setting Kokkos_ENABLE_KokkosAlgorithms=ON since Trilinos_ENABLE_Kokkos=ON AND Trilinos_ENABLE_KokkosAlgorithms=ON
-- Setting TeuchosCore_ENABLE_KokkosCore=ON since Trilinos_ENABLE_TeuchosCore=ON AND Trilinos_ENABLE_KokkosCore=ON
-- Setting Teuchos_ENABLE_TeuchosKokkosCompat=ON since Trilinos_ENABLE_Teuchos=ON AND Trilinos_ENABLE_TeuchosKokkosCompat=ON
-- Setting Teuchos_ENABLE_TeuchosKokkosComm=ON since Trilinos_ENABLE_Teuchos=ON AND Trilinos_ENABLE_TeuchosKokkosComm=ON
-- Setting Sacado_ENABLE_KokkosCore=ON since Trilinos_ENABLE_Sacado=ON AND Trilinos_ENABLE_KokkosCore=ON
-- Setting Sacado_ENABLE_TeuchosCore=ON since Trilinos_ENABLE_Sacado=ON AND Trilinos_ENABLE_TeuchosCore=ON
-- Setting Sacado_ENABLE_TeuchosNumerics=ON since Trilinos_ENABLE_Sacado=ON AND Trilinos_ENABLE_TeuchosNumerics=ON
-- Setting Sacado_ENABLE_TeuchosComm=ON since Trilinos_ENABLE_Sacado=ON AND Trilinos_ENABLE_TeuchosComm=ON
-- Setting Sacado_ENABLE_TeuchosKokkosComm=ON since Trilinos_ENABLE_Sacado=ON AND Trilinos_ENABLE_TeuchosKokkosComm=ON
-- Setting Sacado_ENABLE_KokkosContainers=ON since Trilinos_ENABLE_Sacado=ON AND Trilinos_ENABLE_KokkosContainers=ON
-- Setting Epetra_ENABLE_Teuchos=ON since Trilinos_ENABLE_Epetra=ON AND Trilinos_ENABLE_Teuchos=ON
-- Setting Shards_ENABLE_Teuchos=ON since Trilinos_ENABLE_Shards=ON AND Trilinos_ENABLE_Teuchos=ON
-- Setting Triutils_ENABLE_Epetra=ON since Trilinos_ENABLE_Triutils=ON AND Trilinos_ENABLE_Epetra=ON
-- Setting EpetraExt_ENABLE_Triutils=ON since Trilinos_ENABLE_EpetraExt=ON AND Trilinos_ENABLE_Triutils=ON
-- Setting TpetraCore_ENABLE_Epetra=ON since Trilinos_ENABLE_TpetraCore=ON AND Trilinos_ENABLE_Epetra=ON
-- Setting TpetraCore_ENABLE_TpetraTSQR=ON since Trilinos_ENABLE_TpetraCore=ON AND Trilinos_ENABLE_TpetraTSQR=ON
-- Setting TpetraCore_ENABLE_TeuchosNumerics=ON since Trilinos_ENABLE_TpetraCore=ON AND Trilinos_ENABLE_TeuchosNumerics=ON
-- Setting Tpetra_ENABLE_TpetraTSQR=ON since Trilinos_ENABLE_Tpetra=ON AND Trilinos_ENABLE_TpetraTSQR=ON
-- Setting Domi_ENABLE_Epetra=ON since Trilinos_ENABLE_Domi=ON AND Trilinos_ENABLE_Epetra=ON
-- Setting Domi_ENABLE_TpetraClassic=ON since Trilinos_ENABLE_Domi=ON AND Trilinos_ENABLE_TpetraClassic=ON
-- Setting Domi_ENABLE_TpetraCore=ON since Trilinos_ENABLE_Domi=ON AND Trilinos_ENABLE_TpetraCore=ON
-- Setting ThyraTpetraAdapters_ENABLE_ThyraEpetraAdapters=ON since Trilinos_ENABLE_ThyraTpetraAdapters=ON AND Trilinos_ENABLE_ThyraEpetraAdapters=ON
-- Setting Thyra_ENABLE_ThyraEpetraAdapters=ON since Trilinos_ENABLE_Thyra=ON AND Trilinos_ENABLE_ThyraEpetraAdapters=ON
-- Setting Thyra_ENABLE_ThyraEpetraExtAdapters=ON since Trilinos_ENABLE_Thyra=ON AND Trilinos_ENABLE_ThyraEpetraExtAdapters=ON
-- Setting Thyra_ENABLE_ThyraTpetraAdapters=ON since Trilinos_ENABLE_Thyra=ON AND Trilinos_ENABLE_ThyraTpetraAdapters=ON
-- NOTE: Xpetra_ENABLE_Epetra=OFF is already set so not enabling even though Trilinos_ENABLE_Epetra=ON is set!
-- NOTE: Xpetra_ENABLE_EpetraExt=OFF is already set so not enabling even though Trilinos_ENABLE_EpetraExt=ON is set!
-- Setting Xpetra_ENABLE_Tpetra=ON since Trilinos_ENABLE_Xpetra=ON AND Trilinos_ENABLE_Tpetra=ON
-- Setting Xpetra_ENABLE_KokkosCore=ON since Trilinos_ENABLE_Xpetra=ON AND Trilinos_ENABLE_KokkosCore=ON
-- Setting Xpetra_ENABLE_KokkosContainers=ON since Trilinos_ENABLE_Xpetra=ON AND Trilinos_ENABLE_KokkosContainers=ON
-- Setting Xpetra_ENABLE_KokkosKernels=ON since Trilinos_ENABLE_Xpetra=ON AND Trilinos_ENABLE_KokkosKernels=ON
-- Setting Xpetra_ENABLE_Thyra=ON since Trilinos_ENABLE_Xpetra=ON AND Trilinos_ENABLE_Thyra=ON
-- NOTE: Xpetra_ENABLE_Epetra=OFF is already set so not enabling even though Trilinos_ENABLE_Epetra=ON is set!
-- NOTE: Xpetra_ENABLE_EpetraExt=OFF is already set so not enabling even though Trilinos_ENABLE_EpetraExt=ON is set!
-- NOTE: Xpetra_ENABLE_Tpetra=ON is already set!
-- NOTE: Xpetra_ENABLE_KokkosCore=ON is already set!
-- NOTE: Xpetra_ENABLE_KokkosContainers=ON is already set!
-- NOTE: Xpetra_ENABLE_KokkosKernels=ON is already set!
-- NOTE: Xpetra_ENABLE_Thyra=ON is already set!
-- Setting AztecOO_ENABLE_Teuchos=ON since Trilinos_ENABLE_AztecOO=ON AND Trilinos_ENABLE_Teuchos=ON
-- Setting Galeri_ENABLE_Epetra=ON since Trilinos_ENABLE_Galeri=ON AND Trilinos_ENABLE_Epetra=ON
-- Setting Galeri_ENABLE_EpetraExt=ON since Trilinos_ENABLE_Galeri=ON AND Trilinos_ENABLE_EpetraExt=ON
-- Setting Galeri_ENABLE_Xpetra=ON since Trilinos_ENABLE_Galeri=ON AND Trilinos_ENABLE_Xpetra=ON
-- Setting Galeri_ENABLE_Tpetra=ON since Trilinos_ENABLE_Galeri=ON AND Trilinos_ENABLE_Tpetra=ON
-- Setting Galeri_ENABLE_Triutils=ON since Trilinos_ENABLE_Galeri=ON AND Trilinos_ENABLE_Triutils=ON
-- Setting Amesos_ENABLE_EpetraExt=ON since Trilinos_ENABLE_Amesos=ON AND Trilinos_ENABLE_EpetraExt=ON
-- Setting Amesos_ENABLE_Triutils=ON since Trilinos_ENABLE_Amesos=ON AND Trilinos_ENABLE_Triutils=ON
-- Setting Amesos_ENABLE_Galeri=ON since Trilinos_ENABLE_Amesos=ON AND Trilinos_ENABLE_Galeri=ON
-- Setting Zoltan2_ENABLE_Epetra=ON since Trilinos_ENABLE_Zoltan2=ON AND Trilinos_ENABLE_Epetra=ON
-- NOTE: Zoltan2_ENABLE_Epetra=ON is already set!
-- Setting Zoltan2_ENABLE_Galeri=ON since Trilinos_ENABLE_Zoltan2=ON AND Trilinos_ENABLE_Galeri=ON
-- Setting Zoltan2_ENABLE_Pamgen=ON since Trilinos_ENABLE_Zoltan2=ON AND Trilinos_ENABLE_Pamgen=ON
-- Setting Ifpack_ENABLE_Amesos=ON since Trilinos_ENABLE_Ifpack=ON AND Trilinos_ENABLE_Amesos=ON
-- Setting Ifpack_ENABLE_EpetraExt=ON since Trilinos_ENABLE_Ifpack=ON AND Trilinos_ENABLE_EpetraExt=ON
-- Setting Ifpack_ENABLE_AztecOO=ON since Trilinos_ENABLE_Ifpack=ON AND Trilinos_ENABLE_AztecOO=ON
-- Setting Ifpack_ENABLE_Galeri=ON since Trilinos_ENABLE_Ifpack=ON AND Trilinos_ENABLE_Galeri=ON
-- Setting ML_ENABLE_Teuchos=ON since Trilinos_ENABLE_ML=ON AND Trilinos_ENABLE_Teuchos=ON
-- Setting ML_ENABLE_Epetra=ON since Trilinos_ENABLE_ML=ON AND Trilinos_ENABLE_Epetra=ON
-- Setting ML_ENABLE_Zoltan=ON since Trilinos_ENABLE_ML=ON AND Trilinos_ENABLE_Zoltan=ON
-- Setting ML_ENABLE_Galeri=ON since Trilinos_ENABLE_ML=ON AND Trilinos_ENABLE_Galeri=ON
-- Setting ML_ENABLE_Amesos=ON since Trilinos_ENABLE_ML=ON AND Trilinos_ENABLE_Amesos=ON
-- Setting ML_ENABLE_Ifpack=ON since Trilinos_ENABLE_ML=ON AND Trilinos_ENABLE_Ifpack=ON
-- Setting ML_ENABLE_AztecOO=ON since Trilinos_ENABLE_ML=ON AND Trilinos_ENABLE_AztecOO=ON
-- Setting ML_ENABLE_EpetraExt=ON since Trilinos_ENABLE_ML=ON AND Trilinos_ENABLE_EpetraExt=ON
-- Setting ML_ENABLE_Isorropia=ON since Trilinos_ENABLE_ML=ON AND Trilinos_ENABLE_Isorropia=ON
-- Setting Belos_ENABLE_Epetra=ON since Trilinos_ENABLE_Belos=ON AND Trilinos_ENABLE_Epetra=ON
-- Setting Belos_ENABLE_Tpetra=ON since Trilinos_ENABLE_Belos=ON AND Trilinos_ENABLE_Tpetra=ON
-- Setting Belos_ENABLE_Xpetra=ON since Trilinos_ENABLE_Belos=ON AND Trilinos_ENABLE_Xpetra=ON
-- Setting Belos_ENABLE_Thyra=ON since Trilinos_ENABLE_Belos=ON AND Trilinos_ENABLE_Thyra=ON
-- Setting Belos_ENABLE_AztecOO=ON since Trilinos_ENABLE_Belos=ON AND Trilinos_ENABLE_AztecOO=ON
-- Setting Belos_ENABLE_Triutils=ON since Trilinos_ENABLE_Belos=ON AND Trilinos_ENABLE_Triutils=ON
-- Setting Belos_ENABLE_Galeri=ON since Trilinos_ENABLE_Belos=ON AND Trilinos_ENABLE_Galeri=ON
-- NOTE: Belos_ENABLE_Triutils=ON is already set!
-- Setting Belos_ENABLE_EpetraExt=ON since Trilinos_ENABLE_Belos=ON AND Trilinos_ENABLE_EpetraExt=ON
-- Setting Belos_ENABLE_Ifpack=ON since Trilinos_ENABLE_Belos=ON AND Trilinos_ENABLE_Ifpack=ON
-- Setting Belos_ENABLE_ML=ON since Trilinos_ENABLE_Belos=ON AND Trilinos_ENABLE_ML=ON
-- NOTE: Belos_ENABLE_AztecOO=ON is already set!
-- Setting ShyLU_NodeHTS_ENABLE_KokkosKernels=ON since Trilinos_ENABLE_ShyLU_NodeHTS=ON AND Trilinos_ENABLE_KokkosKernels=ON
-- Setting ShyLU_NodeTacho_ENABLE_TrilinosSS=ON since Trilinos_ENABLE_ShyLU_NodeTacho=ON AND Trilinos_ENABLE_TrilinosSS=ON
-- Setting ShyLU_Node_ENABLE_ShyLU_NodeHTS=ON since Trilinos_ENABLE_ShyLU_Node=ON AND Trilinos_ENABLE_ShyLU_NodeHTS=ON
-- Setting ShyLU_Node_ENABLE_ShyLU_NodeTacho=ON since Trilinos_ENABLE_ShyLU_Node=ON AND Trilinos_ENABLE_ShyLU_NodeTacho=ON
-- Setting Amesos2_ENABLE_Epetra=ON since Trilinos_ENABLE_Amesos2=ON AND Trilinos_ENABLE_Epetra=ON
-- Setting Amesos2_ENABLE_EpetraExt=ON since Trilinos_ENABLE_Amesos2=ON AND Trilinos_ENABLE_EpetraExt=ON
-- Setting Amesos2_ENABLE_ShyLU_NodeTacho=ON since Trilinos_ENABLE_Amesos2=ON AND Trilinos_ENABLE_ShyLU_NodeTacho=ON
-- NOTE: Amesos2_ENABLE_ShyLU_NodeTacho=ON is already set!
-- Setting Amesos2_ENABLE_Kokkos=ON since Trilinos_ENABLE_Amesos2=ON AND Trilinos_ENABLE_Kokkos=ON
-- Setting Amesos2_ENABLE_TrilinosSS=ON since Trilinos_ENABLE_Amesos2=ON AND Trilinos_ENABLE_TrilinosSS=ON
-- Setting SEACASIoss_ENABLE_SEACASExodus=ON since Trilinos_ENABLE_SEACASIoss=ON AND Trilinos_ENABLE_SEACASExodus=ON
-- Setting SEACASIoss_ENABLE_Pamgen=ON since Trilinos_ENABLE_SEACASIoss=ON AND Trilinos_ENABLE_Pamgen=ON
-- Setting SEACASIoss_ENABLE_Zoltan=ON since Trilinos_ENABLE_SEACASIoss=ON AND Trilinos_ENABLE_Zoltan=ON
-- Setting SEACASIoss_ENABLE_Kokkos=ON since Trilinos_ENABLE_SEACASIoss=ON AND Trilinos_ENABLE_Kokkos=ON
-- Setting SEACASAprepro_lib_ENABLE_SEACASExodus=ON since Trilinos_ENABLE_SEACASAprepro_lib=ON AND Trilinos_ENABLE_SEACASExodus=ON
-- Setting SEACASNemslice_ENABLE_Zoltan=ON since Trilinos_ENABLE_SEACASNemslice=ON AND Trilinos_ENABLE_Zoltan=ON
-- Setting SEACAS_ENABLE_SEACASExodus_for=ON since Trilinos_ENABLE_SEACAS=ON AND Trilinos_ENABLE_SEACASExodus_for=ON
-- Setting SEACAS_ENABLE_SEACASExoIIv2for32=ON since Trilinos_ENABLE_SEACAS=ON AND Trilinos_ENABLE_SEACASExoIIv2for32=ON
-- Setting SEACAS_ENABLE_SEACASNemesis=ON since Trilinos_ENABLE_SEACAS=ON AND Trilinos_ENABLE_SEACASNemesis=ON
-- Setting SEACAS_ENABLE_SEACASChaco=ON since Trilinos_ENABLE_SEACAS=ON AND Trilinos_ENABLE_SEACASChaco=ON
-- Setting SEACAS_ENABLE_SEACASAprepro_lib=ON since Trilinos_ENABLE_SEACAS=ON AND Trilinos_ENABLE_SEACASAprepro_lib=ON
-- Setting SEACAS_ENABLE_SEACASSupes=ON since Trilinos_ENABLE_SEACAS=ON AND Trilinos_ENABLE_SEACASSupes=ON
-- Setting SEACAS_ENABLE_SEACASSuplib=ON since Trilinos_ENABLE_SEACAS=ON AND Trilinos_ENABLE_SEACASSuplib=ON
-- Setting SEACAS_ENABLE_SEACASSuplibC=ON since Trilinos_ENABLE_SEACAS=ON AND Trilinos_ENABLE_SEACASSuplibC=ON
-- Setting SEACAS_ENABLE_SEACASSuplibCpp=ON since Trilinos_ENABLE_SEACAS=ON AND Trilinos_ENABLE_SEACASSuplibCpp=ON
-- Setting SEACAS_ENABLE_SEACASSVDI=ON since Trilinos_ENABLE_SEACAS=ON AND Trilinos_ENABLE_SEACASSVDI=ON
-- Setting SEACAS_ENABLE_SEACASPLT=ON since Trilinos_ENABLE_SEACAS=ON AND Trilinos_ENABLE_SEACASPLT=ON
-- Setting SEACAS_ENABLE_SEACASAlgebra=ON since Trilinos_ENABLE_SEACAS=ON AND Trilinos_ENABLE_SEACASAlgebra=ON
-- Setting SEACAS_ENABLE_SEACASAprepro=ON since Trilinos_ENABLE_SEACAS=ON AND Trilinos_ENABLE_SEACASAprepro=ON
-- Setting SEACAS_ENABLE_SEACASBlot=ON since Trilinos_ENABLE_SEACAS=ON AND Trilinos_ENABLE_SEACASBlot=ON
-- Setting SEACAS_ENABLE_SEACASConjoin=ON since Trilinos_ENABLE_SEACAS=ON AND Trilinos_ENABLE_SEACASConjoin=ON
-- Setting SEACAS_ENABLE_SEACASEjoin=ON since Trilinos_ENABLE_SEACAS=ON AND Trilinos_ENABLE_SEACASEjoin=ON
-- Setting SEACAS_ENABLE_SEACASEpu=ON since Trilinos_ENABLE_SEACAS=ON AND Trilinos_ENABLE_SEACASEpu=ON
-- Setting SEACAS_ENABLE_SEACASExodiff=ON since Trilinos_ENABLE_SEACAS=ON AND Trilinos_ENABLE_SEACASExodiff=ON
-- Setting SEACAS_ENABLE_SEACASExomatlab=ON since Trilinos_ENABLE_SEACAS=ON AND Trilinos_ENABLE_SEACASExomatlab=ON
-- Setting SEACAS_ENABLE_SEACASExotxt=ON since Trilinos_ENABLE_SEACAS=ON AND Trilinos_ENABLE_SEACASExotxt=ON
-- Setting SEACAS_ENABLE_SEACASExo_format=ON since Trilinos_ENABLE_SEACAS=ON AND Trilinos_ENABLE_SEACASExo_format=ON
-- Setting SEACAS_ENABLE_SEACASEx1ex2v2=ON since Trilinos_ENABLE_SEACAS=ON AND Trilinos_ENABLE_SEACASEx1ex2v2=ON
-- Setting SEACAS_ENABLE_SEACASFastq=ON since Trilinos_ENABLE_SEACAS=ON AND Trilinos_ENABLE_SEACASFastq=ON
-- Setting SEACAS_ENABLE_SEACASGjoin=ON since Trilinos_ENABLE_SEACAS=ON AND Trilinos_ENABLE_SEACASGjoin=ON
-- Setting SEACAS_ENABLE_SEACASGen3D=ON since Trilinos_ENABLE_SEACAS=ON AND Trilinos_ENABLE_SEACASGen3D=ON
-- Setting SEACAS_ENABLE_SEACASGenshell=ON since Trilinos_ENABLE_SEACAS=ON AND Trilinos_ENABLE_SEACASGenshell=ON
-- Setting SEACAS_ENABLE_SEACASGrepos=ON since Trilinos_ENABLE_SEACAS=ON AND Trilinos_ENABLE_SEACASGrepos=ON
-- Setting SEACAS_ENABLE_SEACASExplore=ON since Trilinos_ENABLE_SEACAS=ON AND Trilinos_ENABLE_SEACASExplore=ON
-- Setting SEACAS_ENABLE_SEACASMapvarlib=ON since Trilinos_ENABLE_SEACAS=ON AND Trilinos_ENABLE_SEACASMapvarlib=ON
-- Setting SEACAS_ENABLE_SEACASMapvar=ON since Trilinos_ENABLE_SEACAS=ON AND Trilinos_ENABLE_SEACASMapvar=ON
-- Setting SEACAS_ENABLE_SEACASMapvar-kd=ON since Trilinos_ENABLE_SEACAS=ON AND Trilinos_ENABLE_SEACASMapvar-kd=ON
-- Setting SEACAS_ENABLE_SEACASNemslice=ON since Trilinos_ENABLE_SEACAS=ON AND Trilinos_ENABLE_SEACASNemslice=ON
-- Setting SEACAS_ENABLE_SEACASNemspread=ON since Trilinos_ENABLE_SEACAS=ON AND Trilinos_ENABLE_SEACASNemspread=ON
-- Setting SEACAS_ENABLE_SEACASNumbers=ON since Trilinos_ENABLE_SEACAS=ON AND Trilinos_ENABLE_SEACASNumbers=ON
-- Setting SEACAS_ENABLE_SEACASTxtexo=ON since Trilinos_ENABLE_SEACAS=ON AND Trilinos_ENABLE_SEACASTxtexo=ON
-- Setting SEACAS_ENABLE_SEACASEx2ex1v2=ON since Trilinos_ENABLE_SEACAS=ON AND Trilinos_ENABLE_SEACASEx2ex1v2=ON
-- Setting Anasazi_ENABLE_Tpetra=ON since Trilinos_ENABLE_Anasazi=ON AND Trilinos_ENABLE_Tpetra=ON
-- Setting Anasazi_ENABLE_Epetra=ON since Trilinos_ENABLE_Anasazi=ON AND Trilinos_ENABLE_Epetra=ON
-- Setting Anasazi_ENABLE_EpetraExt=ON since Trilinos_ENABLE_Anasazi=ON AND Trilinos_ENABLE_EpetraExt=ON
-- Setting Anasazi_ENABLE_ThyraCore=ON since Trilinos_ENABLE_Anasazi=ON AND Trilinos_ENABLE_ThyraCore=ON
-- Setting Anasazi_ENABLE_ThyraEpetraAdapters=ON since Trilinos_ENABLE_Anasazi=ON AND Trilinos_ENABLE_ThyraEpetraAdapters=ON
-- Setting Anasazi_ENABLE_Belos=ON since Trilinos_ENABLE_Anasazi=ON AND Trilinos_ENABLE_Belos=ON
-- Setting Anasazi_ENABLE_Amesos=ON since Trilinos_ENABLE_Anasazi=ON AND Trilinos_ENABLE_Amesos=ON
-- Setting Anasazi_ENABLE_AztecOO=ON since Trilinos_ENABLE_Anasazi=ON AND Trilinos_ENABLE_AztecOO=ON
-- NOTE: Anasazi_ENABLE_Belos=ON is already set!
-- NOTE: Anasazi_ENABLE_EpetraExt=ON is already set!
-- Setting Anasazi_ENABLE_Galeri=ON since Trilinos_ENABLE_Anasazi=ON AND Trilinos_ENABLE_Galeri=ON
-- Setting Anasazi_ENABLE_Ifpack=ON since Trilinos_ENABLE_Anasazi=ON AND Trilinos_ENABLE_Ifpack=ON
-- Setting Anasazi_ENABLE_Triutils=ON since Trilinos_ENABLE_Anasazi=ON AND Trilinos_ENABLE_Triutils=ON
-- Setting Ifpack2_ENABLE_Xpetra=ON since Trilinos_ENABLE_Ifpack2=ON AND Trilinos_ENABLE_Xpetra=ON
-- Setting Ifpack2_ENABLE_Zoltan2=ON since Trilinos_ENABLE_Ifpack2=ON AND Trilinos_ENABLE_Zoltan2=ON
-- Setting Ifpack2_ENABLE_ThyraTpetraAdapters=ON since Trilinos_ENABLE_Ifpack2=ON AND Trilinos_ENABLE_ThyraTpetraAdapters=ON
-- Setting Ifpack2_ENABLE_Amesos2=ON since Trilinos_ENABLE_Ifpack2=ON AND Trilinos_ENABLE_Amesos2=ON
-- Setting Ifpack2_ENABLE_ShyLU_NodeHTS=ON since Trilinos_ENABLE_Ifpack2=ON AND Trilinos_ENABLE_ShyLU_NodeHTS=ON
-- NOTE: Ifpack2_ENABLE_Amesos2=ON is already set!
-- NOTE: Ifpack2_ENABLE_ShyLU_NodeHTS=ON is already set!
-- Setting Ifpack2_ENABLE_ML=ON since Trilinos_ENABLE_Ifpack2=ON AND Trilinos_ENABLE_ML=ON
-- Setting Ifpack2_ENABLE_AztecOO=ON since Trilinos_ENABLE_Ifpack2=ON AND Trilinos_ENABLE_AztecOO=ON
-- Setting Ifpack2_ENABLE_Epetra=ON since Trilinos_ENABLE_Ifpack2=ON AND Trilinos_ENABLE_Epetra=ON
-- Setting Stratimikos_ENABLE_Amesos=ON since Trilinos_ENABLE_Stratimikos=ON AND Trilinos_ENABLE_Amesos=ON
-- Setting Stratimikos_ENABLE_Amesos2=ON since Trilinos_ENABLE_Stratimikos=ON AND Trilinos_ENABLE_Amesos2=ON
-- Setting Stratimikos_ENABLE_AztecOO=ON since Trilinos_ENABLE_Stratimikos=ON AND Trilinos_ENABLE_AztecOO=ON
-- Setting Stratimikos_ENABLE_Belos=ON since Trilinos_ENABLE_Stratimikos=ON AND Trilinos_ENABLE_Belos=ON
-- Setting Stratimikos_ENABLE_Ifpack=ON since Trilinos_ENABLE_Stratimikos=ON AND Trilinos_ENABLE_Ifpack=ON
-- Setting Stratimikos_ENABLE_ML=ON since Trilinos_ENABLE_Stratimikos=ON AND Trilinos_ENABLE_ML=ON
-- Setting Stratimikos_ENABLE_EpetraExt=ON since Trilinos_ENABLE_Stratimikos=ON AND Trilinos_ENABLE_EpetraExt=ON
-- Setting Stratimikos_ENABLE_ThyraEpetraAdapters=ON since Trilinos_ENABLE_Stratimikos=ON AND Trilinos_ENABLE_ThyraEpetraAdapters=ON
-- Setting Stratimikos_ENABLE_ThyraTpetraAdapters=ON since Trilinos_ENABLE_Stratimikos=ON AND Trilinos_ENABLE_ThyraTpetraAdapters=ON
-- Setting Stratimikos_ENABLE_Triutils=ON since Trilinos_ENABLE_Stratimikos=ON AND Trilinos_ENABLE_Triutils=ON
-- Setting Stratimikos_ENABLE_Ifpack2=ON since Trilinos_ENABLE_Stratimikos=ON AND Trilinos_ENABLE_Ifpack2=ON
-- Setting Teko_ENABLE_Isorropia=ON since Trilinos_ENABLE_Teko=ON AND Trilinos_ENABLE_Isorropia=ON
-- Setting Teko_ENABLE_Ifpack2=ON since Trilinos_ENABLE_Teko=ON AND Trilinos_ENABLE_Ifpack2=ON
-- Setting Teko_ENABLE_Amesos2=ON since Trilinos_ENABLE_Teko=ON AND Trilinos_ENABLE_Amesos2=ON
-- Setting Teko_ENABLE_Belos=ON since Trilinos_ENABLE_Teko=ON AND Trilinos_ENABLE_Belos=ON
-- Setting Intrepid_ENABLE_Epetra=ON since Trilinos_ENABLE_Intrepid=ON AND Trilinos_ENABLE_Epetra=ON
-- Setting Intrepid_ENABLE_EpetraExt=ON since Trilinos_ENABLE_Intrepid=ON AND Trilinos_ENABLE_EpetraExt=ON
-- Setting Intrepid_ENABLE_Amesos=ON since Trilinos_ENABLE_Intrepid=ON AND Trilinos_ENABLE_Amesos=ON
-- Setting Intrepid_ENABLE_Pamgen=ON since Trilinos_ENABLE_Intrepid=ON AND Trilinos_ENABLE_Pamgen=ON
-- Setting Intrepid2_ENABLE_Sacado=ON since Trilinos_ENABLE_Intrepid2=ON AND Trilinos_ENABLE_Sacado=ON
-- Setting Intrepid2_ENABLE_KokkosKernels=ON since Trilinos_ENABLE_Intrepid2=ON AND Trilinos_ENABLE_KokkosKernels=ON
-- NOTE: Intrepid2_ENABLE_Sacado=ON is already set!
-- Setting NOX_ENABLE_Epetra=ON since Trilinos_ENABLE_NOX=ON AND Trilinos_ENABLE_Epetra=ON
-- Setting NOX_ENABLE_EpetraExt=ON since Trilinos_ENABLE_NOX=ON AND Trilinos_ENABLE_EpetraExt=ON
-- Setting NOX_ENABLE_ThyraCore=ON since Trilinos_ENABLE_NOX=ON AND Trilinos_ENABLE_ThyraCore=ON
-- Setting NOX_ENABLE_ThyraEpetraAdapters=ON since Trilinos_ENABLE_NOX=ON AND Trilinos_ENABLE_ThyraEpetraAdapters=ON
-- Setting NOX_ENABLE_ThyraEpetraExtAdapters=ON since Trilinos_ENABLE_NOX=ON AND Trilinos_ENABLE_ThyraEpetraExtAdapters=ON
-- Setting NOX_ENABLE_Amesos=ON since Trilinos_ENABLE_NOX=ON AND Trilinos_ENABLE_Amesos=ON
-- Setting NOX_ENABLE_AztecOO=ON since Trilinos_ENABLE_NOX=ON AND Trilinos_ENABLE_AztecOO=ON
-- Setting NOX_ENABLE_Ifpack=ON since Trilinos_ENABLE_NOX=ON AND Trilinos_ENABLE_Ifpack=ON
-- Setting NOX_ENABLE_ML=ON since Trilinos_ENABLE_NOX=ON AND Trilinos_ENABLE_ML=ON
-- Setting NOX_ENABLE_Belos=ON since Trilinos_ENABLE_NOX=ON AND Trilinos_ENABLE_Belos=ON
-- Setting NOX_ENABLE_Anasazi=ON since Trilinos_ENABLE_NOX=ON AND Trilinos_ENABLE_Anasazi=ON
-- Setting NOX_ENABLE_Stratimikos=ON since Trilinos_ENABLE_NOX=ON AND Trilinos_ENABLE_Stratimikos=ON
-- Setting NOX_ENABLE_Teko=ON since Trilinos_ENABLE_NOX=ON AND Trilinos_ENABLE_Teko=ON
-- NOTE: NOX_ENABLE_Stratimikos=ON is already set!
-- Setting NOX_ENABLE_Isorropia=ON since Trilinos_ENABLE_NOX=ON AND Trilinos_ENABLE_Isorropia=ON
-- Setting NOX_ENABLE_Tpetra=ON since Trilinos_ENABLE_NOX=ON AND Trilinos_ENABLE_Tpetra=ON
-- Setting NOX_ENABLE_ThyraTpetraAdapters=ON since Trilinos_ENABLE_NOX=ON AND Trilinos_ENABLE_ThyraTpetraAdapters=ON
-- Setting NOX_ENABLE_Ifpack2=ON since Trilinos_ENABLE_NOX=ON AND Trilinos_ENABLE_Ifpack2=ON
-- Setting Moertel_ENABLE_Kokkos=ON since Trilinos_ENABLE_Moertel=ON AND Trilinos_ENABLE_Kokkos=ON
-- Setting Moertel_ENABLE_Tpetra=ON since Trilinos_ENABLE_Moertel=ON AND Trilinos_ENABLE_Tpetra=ON
-- Setting Moertel_ENABLE_SEACAS=ON since Trilinos_ENABLE_Moertel=ON AND Trilinos_ENABLE_SEACAS=ON
-- Setting ShyLU_DDBDDC_ENABLE_Amesos2=ON since Trilinos_ENABLE_ShyLU_DDBDDC=ON AND Trilinos_ENABLE_Amesos2=ON
-- Setting ShyLU_DDBDDC_ENABLE_ShyLU_NodeTacho=ON since Trilinos_ENABLE_ShyLU_DDBDDC=ON AND Trilinos_ENABLE_ShyLU_NodeTacho=ON
-- Setting ShyLU_DDFROSch_ENABLE_Amesos=ON since Trilinos_ENABLE_ShyLU_DDFROSch=ON AND Trilinos_ENABLE_Amesos=ON
-- Setting ShyLU_DDFROSch_ENABLE_Belos=ON since Trilinos_ENABLE_ShyLU_DDFROSch=ON AND Trilinos_ENABLE_Belos=ON
-- Setting ShyLU_DDFROSch_ENABLE_Epetra=ON since Trilinos_ENABLE_ShyLU_DDFROSch=ON AND Trilinos_ENABLE_Epetra=ON
-- Setting ShyLU_DDFROSch_ENABLE_EpetraExt=ON since Trilinos_ENABLE_ShyLU_DDFROSch=ON AND Trilinos_ENABLE_EpetraExt=ON
-- Setting ShyLU_DDFROSch_ENABLE_Ifpack2=ON since Trilinos_ENABLE_ShyLU_DDFROSch=ON AND Trilinos_ENABLE_Ifpack2=ON
-- Setting ShyLU_DDFROSch_ENABLE_Stratimikos=ON since Trilinos_ENABLE_ShyLU_DDFROSch=ON AND Trilinos_ENABLE_Stratimikos=ON
-- Setting ShyLU_DDFROSch_ENABLE_Thyra=ON since Trilinos_ENABLE_ShyLU_DDFROSch=ON AND Trilinos_ENABLE_Thyra=ON
-- Setting ShyLU_DDFROSch_ENABLE_Zoltan2=ON since Trilinos_ENABLE_ShyLU_DDFROSch=ON AND Trilinos_ENABLE_Zoltan2=ON
-- NOTE: ShyLU_DDFROSch_ENABLE_Belos=ON is already set!
-- NOTE: ShyLU_DDFROSch_ENABLE_Thyra=ON is already set!
-- Setting ShyLU_DD_ENABLE_ShyLU_DDCommon=ON since Trilinos_ENABLE_ShyLU_DD=ON AND Trilinos_ENABLE_ShyLU_DDCommon=ON
-- Setting Rythmos_ENABLE_EpetraExt=ON since Trilinos_ENABLE_Rythmos=ON AND Trilinos_ENABLE_EpetraExt=ON
-- Setting Rythmos_ENABLE_ThyraEpetraAdapters=ON since Trilinos_ENABLE_Rythmos=ON AND Trilinos_ENABLE_ThyraEpetraAdapters=ON
-- Setting Rythmos_ENABLE_ThyraEpetraExtAdapters=ON since Trilinos_ENABLE_Rythmos=ON AND Trilinos_ENABLE_ThyraEpetraExtAdapters=ON
-- Setting Rythmos_ENABLE_Sacado=ON since Trilinos_ENABLE_Rythmos=ON AND Trilinos_ENABLE_Sacado=ON
-- Setting Rythmos_ENABLE_Stratimikos=ON since Trilinos_ENABLE_Rythmos=ON AND Trilinos_ENABLE_Stratimikos=ON
-- Setting Rythmos_ENABLE_Belos=ON since Trilinos_ENABLE_Rythmos=ON AND Trilinos_ENABLE_Belos=ON
-- Setting Rythmos_ENABLE_NOX=ON since Trilinos_ENABLE_Rythmos=ON AND Trilinos_ENABLE_NOX=ON
-- Setting Stokhos_ENABLE_EpetraExt=ON since Trilinos_ENABLE_Stokhos=ON AND Trilinos_ENABLE_EpetraExt=ON
-- Setting Stokhos_ENABLE_Ifpack=ON since Trilinos_ENABLE_Stokhos=ON AND Trilinos_ENABLE_Ifpack=ON
-- Setting Stokhos_ENABLE_ML=ON since Trilinos_ENABLE_Stokhos=ON AND Trilinos_ENABLE_ML=ON
-- Setting Stokhos_ENABLE_Anasazi=ON since Trilinos_ENABLE_Stokhos=ON AND Trilinos_ENABLE_Anasazi=ON
-- Setting Stokhos_ENABLE_Sacado=ON since Trilinos_ENABLE_Stokhos=ON AND Trilinos_ENABLE_Sacado=ON
-- Setting Stokhos_ENABLE_NOX=ON since Trilinos_ENABLE_Stokhos=ON AND Trilinos_ENABLE_NOX=ON
-- Setting Stokhos_ENABLE_Isorropia=ON since Trilinos_ENABLE_Stokhos=ON AND Trilinos_ENABLE_Isorropia=ON
-- Setting Stokhos_ENABLE_KokkosKernels=ON since Trilinos_ENABLE_Stokhos=ON AND Trilinos_ENABLE_KokkosKernels=ON
-- Setting Stokhos_ENABLE_TeuchosKokkosComm=ON since Trilinos_ENABLE_Stokhos=ON AND Trilinos_ENABLE_TeuchosKokkosComm=ON
-- Setting Stokhos_ENABLE_KokkosAlgorithms=ON since Trilinos_ENABLE_Stokhos=ON AND Trilinos_ENABLE_KokkosAlgorithms=ON
-- Setting Stokhos_ENABLE_KokkosContainers=ON since Trilinos_ENABLE_Stokhos=ON AND Trilinos_ENABLE_KokkosContainers=ON
-- Setting Stokhos_ENABLE_Tpetra=ON since Trilinos_ENABLE_Stokhos=ON AND Trilinos_ENABLE_Tpetra=ON
-- Setting Stokhos_ENABLE_Ifpack2=ON since Trilinos_ENABLE_Stokhos=ON AND Trilinos_ENABLE_Ifpack2=ON
-- Setting Stokhos_ENABLE_Belos=ON since Trilinos_ENABLE_Stokhos=ON AND Trilinos_ENABLE_Belos=ON
-- Setting Stokhos_ENABLE_Amesos2=ON since Trilinos_ENABLE_Stokhos=ON AND Trilinos_ENABLE_Amesos2=ON
-- Setting Stokhos_ENABLE_Thyra=ON since Trilinos_ENABLE_Stokhos=ON AND Trilinos_ENABLE_Thyra=ON
-- Setting Stokhos_ENABLE_Xpetra=ON since Trilinos_ENABLE_Stokhos=ON AND Trilinos_ENABLE_Xpetra=ON
-- Setting Stokhos_ENABLE_AztecOO=ON since Trilinos_ENABLE_Stokhos=ON AND Trilinos_ENABLE_AztecOO=ON
-- Setting Stokhos_ENABLE_Stratimikos=ON since Trilinos_ENABLE_Stokhos=ON AND Trilinos_ENABLE_Stratimikos=ON
-- Setting Stokhos_ENABLE_Zoltan=ON since Trilinos_ENABLE_Stokhos=ON AND Trilinos_ENABLE_Zoltan=ON
-- NOTE: Stokhos_ENABLE_KokkosContainers=ON is already set!
-- Setting ROL_ENABLE_Belos=ON since Trilinos_ENABLE_ROL=ON AND Trilinos_ENABLE_Belos=ON
-- Setting ROL_ENABLE_Epetra=ON since Trilinos_ENABLE_ROL=ON AND Trilinos_ENABLE_Epetra=ON
-- Setting ROL_ENABLE_Tpetra=ON since Trilinos_ENABLE_ROL=ON AND Trilinos_ENABLE_Tpetra=ON
-- Setting ROL_ENABLE_Thyra=ON since Trilinos_ENABLE_ROL=ON AND Trilinos_ENABLE_Thyra=ON
-- Setting ROL_ENABLE_Sacado=ON since Trilinos_ENABLE_ROL=ON AND Trilinos_ENABLE_Sacado=ON
-- Setting ROL_ENABLE_Intrepid=ON since Trilinos_ENABLE_ROL=ON AND Trilinos_ENABLE_Intrepid=ON
-- Setting ROL_ENABLE_MiniTensor=ON since Trilinos_ENABLE_ROL=ON AND Trilinos_ENABLE_MiniTensor=ON
-- Setting ROL_ENABLE_Shards=ON since Trilinos_ENABLE_ROL=ON AND Trilinos_ENABLE_Shards=ON
-- Setting ROL_ENABLE_Amesos=ON since Trilinos_ENABLE_ROL=ON AND Trilinos_ENABLE_Amesos=ON
-- Setting ROL_ENABLE_Amesos2=ON since Trilinos_ENABLE_ROL=ON AND Trilinos_ENABLE_Amesos2=ON
-- Setting ROL_ENABLE_Ifpack2=ON since Trilinos_ENABLE_ROL=ON AND Trilinos_ENABLE_Ifpack2=ON
-- Setting ROL_ENABLE_Tempus=ON since Trilinos_ENABLE_ROL=ON AND Trilinos_ENABLE_Tempus=ON
-- Setting ROL_ENABLE_Gtest=ON since Trilinos_ENABLE_ROL=ON AND Trilinos_ENABLE_Gtest=ON
-- Setting Piro_ENABLE_NOX=ON since Trilinos_ENABLE_Piro=ON AND Trilinos_ENABLE_NOX=ON
-- Setting Piro_ENABLE_Rythmos=ON since Trilinos_ENABLE_Piro=ON AND Trilinos_ENABLE_Rythmos=ON
-- Setting Piro_ENABLE_Tempus=ON since Trilinos_ENABLE_Piro=ON AND Trilinos_ENABLE_Tempus=ON
-- Setting Piro_ENABLE_Stokhos=ON since Trilinos_ENABLE_Piro=ON AND Trilinos_ENABLE_Stokhos=ON
-- Setting Piro_ENABLE_ROL=ON since Trilinos_ENABLE_Piro=ON AND Trilinos_ENABLE_ROL=ON
-- Setting Piro_ENABLE_Ifpack2=ON since Trilinos_ENABLE_Piro=ON AND Trilinos_ENABLE_Ifpack2=ON
-- Setting Piro_ENABLE_ThyraEpetraAdapters=ON since Trilinos_ENABLE_Piro=ON AND Trilinos_ENABLE_ThyraEpetraAdapters=ON
-- Setting Piro_ENABLE_ThyraEpetraExtAdapters=ON since Trilinos_ENABLE_Piro=ON AND Trilinos_ENABLE_ThyraEpetraExtAdapters=ON
-- Setting Piro_ENABLE_Epetra=ON since Trilinos_ENABLE_Piro=ON AND Trilinos_ENABLE_Epetra=ON
-- Setting Piro_ENABLE_EpetraExt=ON since Trilinos_ENABLE_Piro=ON AND Trilinos_ENABLE_EpetraExt=ON
-- Setting Piro_ENABLE_Tpetra=ON since Trilinos_ENABLE_Piro=ON AND Trilinos_ENABLE_Tpetra=ON
-- Setting PanzerDofMgr_ENABLE_Epetra=ON since Trilinos_ENABLE_PanzerDofMgr=ON AND Trilinos_ENABLE_Epetra=ON
-- Setting Panzer_ENABLE_PanzerDofMgr=ON since Trilinos_ENABLE_Panzer=ON AND Trilinos_ENABLE_PanzerDofMgr=ON
-- Setting Panzer_ENABLE_PanzerDiscFE=ON since Trilinos_ENABLE_Panzer=ON AND Trilinos_ENABLE_PanzerDiscFE=ON
-- Setting TrilinosCouplings_ENABLE_Amesos=ON since Trilinos_ENABLE_TrilinosCouplings=ON AND Trilinos_ENABLE_Amesos=ON
-- Setting TrilinosCouplings_ENABLE_AztecOO=ON since Trilinos_ENABLE_TrilinosCouplings=ON AND Trilinos_ENABLE_AztecOO=ON
-- Setting TrilinosCouplings_ENABLE_Belos=ON since Trilinos_ENABLE_TrilinosCouplings=ON AND Trilinos_ENABLE_Belos=ON
-- Setting TrilinosCouplings_ENABLE_EpetraExt=ON since Trilinos_ENABLE_TrilinosCouplings=ON AND Trilinos_ENABLE_EpetraExt=ON
-- Setting TrilinosCouplings_ENABLE_Ifpack=ON since Trilinos_ENABLE_TrilinosCouplings=ON AND Trilinos_ENABLE_Ifpack=ON
-- Setting TrilinosCouplings_ENABLE_Isorropia=ON since Trilinos_ENABLE_TrilinosCouplings=ON AND Trilinos_ENABLE_Isorropia=ON
-- Setting TrilinosCouplings_ENABLE_ML=ON since Trilinos_ENABLE_TrilinosCouplings=ON AND Trilinos_ENABLE_ML=ON
-- Setting TrilinosCouplings_ENABLE_NOX=ON since Trilinos_ENABLE_TrilinosCouplings=ON AND Trilinos_ENABLE_NOX=ON
-- Setting TrilinosCouplings_ENABLE_Stokhos=ON since Trilinos_ENABLE_TrilinosCouplings=ON AND Trilinos_ENABLE_Stokhos=ON
-- Setting TrilinosCouplings_ENABLE_Zoltan=ON since Trilinos_ENABLE_TrilinosCouplings=ON AND Trilinos_ENABLE_Zoltan=ON
-- NOTE: TrilinosCouplings_ENABLE_Amesos=ON is already set!
-- NOTE: TrilinosCouplings_ENABLE_AztecOO=ON is already set!
-- Setting TrilinosCouplings_ENABLE_Epetra=ON since Trilinos_ENABLE_TrilinosCouplings=ON AND Trilinos_ENABLE_Epetra=ON
-- NOTE: TrilinosCouplings_ENABLE_EpetraExt=ON is already set!
-- NOTE: TrilinosCouplings_ENABLE_Ifpack=ON is already set!
-- Setting TrilinosCouplings_ENABLE_Intrepid=ON since Trilinos_ENABLE_TrilinosCouplings=ON AND Trilinos_ENABLE_Intrepid=ON
-- Setting TrilinosCouplings_ENABLE_Intrepid2=ON since Trilinos_ENABLE_TrilinosCouplings=ON AND Trilinos_ENABLE_Intrepid2=ON
-- NOTE: TrilinosCouplings_ENABLE_Isorropia=ON is already set!
-- Setting TrilinosCouplings_ENABLE_KokkosContainers=ON since Trilinos_ENABLE_TrilinosCouplings=ON AND Trilinos_ENABLE_KokkosContainers=ON
-- Setting TrilinosCouplings_ENABLE_KokkosCore=ON since Trilinos_ENABLE_TrilinosCouplings=ON AND Trilinos_ENABLE_KokkosCore=ON
-- Setting TrilinosCouplings_ENABLE_KokkosKernels=ON since Trilinos_ENABLE_TrilinosCouplings=ON AND Trilinos_ENABLE_KokkosKernels=ON
-- NOTE: TrilinosCouplings_ENABLE_ML=ON is already set!
-- Setting TrilinosCouplings_ENABLE_Pamgen=ON since Trilinos_ENABLE_TrilinosCouplings=ON AND Trilinos_ENABLE_Pamgen=ON
-- Setting TrilinosCouplings_ENABLE_Sacado=ON since Trilinos_ENABLE_TrilinosCouplings=ON AND Trilinos_ENABLE_Sacado=ON
-- NOT setting TrilinosCouplings_ENABLE_STKIO=ON since STKIO is NOT enabled at this point!
-- NOT setting TrilinosCouplings_ENABLE_STKMesh=ON since STKMesh is NOT enabled at this point!
-- NOTE: TrilinosCouplings_ENABLE_Stokhos=ON is already set!
-- Setting TrilinosCouplings_ENABLE_Stratimikos=ON since Trilinos_ENABLE_TrilinosCouplings=ON AND Trilinos_ENABLE_Stratimikos=ON
-- Setting TrilinosCouplings_ENABLE_Teko=ON since Trilinos_ENABLE_TrilinosCouplings=ON AND Trilinos_ENABLE_Teko=ON
-- Setting TrilinosCouplings_ENABLE_TeuchosKokkosComm=ON since Trilinos_ENABLE_TrilinosCouplings=ON AND Trilinos_ENABLE_TeuchosKokkosComm=ON
-- Setting TrilinosCouplings_ENABLE_TeuchosKokkosCompat=ON since Trilinos_ENABLE_TrilinosCouplings=ON AND Trilinos_ENABLE_TeuchosKokkosCompat=ON
-- Setting TrilinosCouplings_ENABLE_Tpetra=ON since Trilinos_ENABLE_TrilinosCouplings=ON AND Trilinos_ENABLE_Tpetra=ON
-- NOT setting TrilinosCouplings_ENABLE_TriKota=ON since TriKota is NOT enabled at this point!
-- NOTE: TrilinosCouplings_ENABLE_Zoltan=ON is already set!
-- Setting Pike_ENABLE_PikeBlackBox=ON since Trilinos_ENABLE_Pike=ON AND Trilinos_ENABLE_PikeBlackBox=ON
-- Setting Pike_ENABLE_PikeImplicit=ON since Trilinos_ENABLE_Pike=ON AND Trilinos_ENABLE_PikeImplicit=ON

Enabling all remaining required TPLs for current set of enabled packages ...

-- Setting TPL_ENABLE_X11=ON because it is required by the enabled package SEACASSVDI

Enabling all optional package TPL support <TRIBITS_PACKAGE>_ENABLE_<DEPTPL> not currently disabled for enabled TPLs ...

-- Setting KokkosCore_ENABLE_DLlib=ON since TPL_ENABLE_DLlib=ON
-- Setting TeuchosCore_ENABLE_Boost=ON since TPL_ENABLE_Boost=ON
-- Setting TeuchosCore_ENABLE_MPI=ON since TPL_ENABLE_MPI=ON
-- Setting TeuchosKokkosComm_ENABLE_MPI=ON since TPL_ENABLE_MPI=ON
-- Setting KokkosKernels_ENABLE_BLAS=ON since TPL_ENABLE_BLAS=ON
-- Setting KokkosKernels_ENABLE_LAPACK=ON since TPL_ENABLE_LAPACK=ON
-- Setting Epetra_ENABLE_MPI=ON since TPL_ENABLE_MPI=ON
-- Setting Zoltan_ENABLE_MPI=ON since TPL_ENABLE_MPI=ON
-- Setting TpetraCore_ENABLE_MPI=ON since TPL_ENABLE_MPI=ON
-- Setting Pamgen_ENABLE_Boost=ON since TPL_ENABLE_Boost=ON
-- Setting Ifpack_ENABLE_Boost=ON since TPL_ENABLE_Boost=ON
-- Setting ML_ENABLE_MPI=ON since TPL_ENABLE_MPI=ON
-- Setting ShyLU_NodeHTS_ENABLE_BLAS=ON since TPL_ENABLE_BLAS=ON
-- Setting ShyLU_NodeTacho_ENABLE_LAPACK=ON since TPL_ENABLE_LAPACK=ON
-- Setting ShyLU_NodeTacho_ENABLE_BLAS=ON since TPL_ENABLE_BLAS=ON
-- NOTE: ShyLU_NodeTacho_ENABLE_LAPACK=ON is already set!
-- NOTE: ShyLU_NodeTacho_ENABLE_BLAS=ON is already set!
-- Setting Amesos2_ENABLE_MPI=ON since TPL_ENABLE_MPI=ON
-- Setting SEACASExodus_ENABLE_MPI=ON since TPL_ENABLE_MPI=ON
-- NOTE: SEACASExodus_ENABLE_MPI=ON is already set!
-- Setting SEACASIoss_ENABLE_DLlib=ON since TPL_ENABLE_DLlib=ON
-- Setting SEACAS_ENABLE_MPI=ON since TPL_ENABLE_MPI=ON
-- Setting NOX_ENABLE_LAPACK=ON since TPL_ENABLE_LAPACK=ON
-- Setting NOX_ENABLE_BLAS=ON since TPL_ENABLE_BLAS=ON
-- Setting Moertel_ENABLE_MPI=ON since TPL_ENABLE_MPI=ON
-- NOTE: Moertel_ENABLE_MPI=ON is already set!
-- Setting Rythmos_ENABLE_Boost=ON since TPL_ENABLE_Boost=ON
-- Setting Tempus_ENABLE_MPI=ON since TPL_ENABLE_MPI=ON
-- Setting Stokhos_ENABLE_Boost=ON since TPL_ENABLE_Boost=ON
-- Setting ROL_ENABLE_Boost=ON since TPL_ENABLE_Boost=ON

Enabling TPLs based on <TRIBITS_PACKAGE>_ENABLE_<TPL>=ON if TPL is not explicitly disabled ...

Set cache entries for optional packages/TPLs and tests/examples for packages actually enabled ...

Enabling the shell of non-enabled parent packages (mostly for show) that have at least one subpackage enabled ...

Final set of enabled packages:  Gtest Kokkos Teuchos KokkosKernels RTOp Sacado MiniTensor Epetra Zoltan Shards Triutils EpetraExt Tpetra TrilinosSS Domi Thyra Xpetra Isorropia Pliris AztecOO Galeri Amesos Pamgen Zoltan2 Ifpack ML Belos ShyLU_Node Amesos2 SEACAS Komplex Anasazi Ifpack2 Stratimikos Teko Intrepid Intrepid2 Phalanx NOX Moertel ShyLU_DD ShyLU Rythmos Tempus Stokhos ROL Piro Panzer TrilinosCouplings Pike 50

Final set of enabled SE packages:  Gtest KokkosCore KokkosContainers KokkosAlgorithms Kokkos TeuchosCore TeuchosParser TeuchosParameterList TeuchosComm TeuchosNumerics TeuchosRemainder TeuchosKokkosCompat TeuchosKokkosComm Teuchos KokkosKernels RTOp Sacado MiniTensor Epetra Zoltan Shards Triutils EpetraExt TpetraClassic TpetraTSQR TpetraCore Tpetra TrilinosSS Domi ThyraCore ThyraEpetraAdapters ThyraEpetraExtAdapters ThyraTpetraAdapters Thyra Xpetra Isorropia Pliris AztecOO Galeri Amesos Pamgen Zoltan2 Ifpack ML Belos ShyLU_NodeHTS ShyLU_NodeTacho ShyLU_Node Amesos2 SEACASExodus SEACASExodus_for SEACASExoIIv2for32 SEACASNemesis SEACASIoss SEACASChaco SEACASAprepro_lib SEACASSupes SEACASSuplib SEACASSuplibC SEACASSuplibCpp SEACASSVDI SEACASPLT SEACASAlgebra SEACASAprepro SEACASBlot SEACASConjoin SEACASEjoin SEACASEpu SEACASExodiff SEACASExomatlab SEACASExotxt SEACASExo_format SEACASEx1ex2v2 SEACASFastq SEACASGjoin SEACASGen3D SEACASGenshell SEACASGrepos SEACASExplore SEACASMapvarlib SEACASMapvar SEACASMapvar-kd SEACASNemslice SEACASNemspread SEACASNumbers SEACASTxtexo SEACASEx2ex1v2 SEACAS Komplex Anasazi Ifpack2 Stratimikos Teko Intrepid Intrepid2 Phalanx NOX Moertel ShyLU_DDBDDC ShyLU_DDFROSch ShyLU_DDCommon ShyLU_DD ShyLU Rythmos Tempus Stokhos ROL Piro PanzerCore PanzerDofMgr PanzerDiscFE Panzer TrilinosCouplings PikeBlackBox PikeImplicit Pike 116

Final set of non-enabled packages:  TrilinosFrameworkTests TrilinosATDMConfigTests FEI TriKota Compadre STK Percept MueLu PyTrilinos NewPackage Adelus 11

Final set of non-enabled SE packages:  TrilinosFrameworkTests TrilinosATDMConfigTests ShyLU_NodeBasker ShyLU_NodeFastILU SEACASExo2mat SEACASExotec2 SEACASMat2exo SEACASSlice FEI TriKota Compadre STKMath STKUtil STKSimd STKTopology STKMesh STKNGP STKIO STKNGP_TEST STKUnit_test_utils STKSearch STKSearchUtil STKTransfer STKTools STKBalance STKUnit_tests STKDoc_tests STKExprEval STK Percept MueLu ShyLU_DDCore PanzerAdaptersSTK PanzerMiniEM PanzerExprEval PyTrilinos NewPackage Adelus 38

Final set of enabled TPLs:  MPI BLAS LAPACK Boost Netcdf X11 DLlib 7

Final set of non-enabled TPLs:  MKL yaml-cpp Peano CUDA CUBLAS CUSOLVER CUSPARSE Thrust Cusp TBB Pthread HWLOC QTHREAD BinUtils ARPREC QD Scotch OVIS gpcd METIS MTMETIS ParMETIS PuLP TopoManager LibTopoMap PaToH CppUnit ADOLC ADIC TVMET MF ExodusII Nemesis XDMF Zlib HDF5 CGNS Pnetcdf ADIOS2 y12m SuperLUDist SuperLUMT SuperLU Cholmod UMFPACK MA28 AMD CSparse HYPRE PETSC BLACS SCALAPACK MUMPS PARDISO_MKL PARDISO Oski TAUCS ForUQTK Dakota HIPS MATLAB CASK SPARSKIT QT gtest BoostLib BoostAlbLib OpenNURBS Portals CrayPortals Gemini InfiniBand BGPDCMF BGQPAMI Pablo HPCToolkit Clp GLPK qpOASES Matio PAPI MATLABLib Eigen Lemon GLM quadmath CAMAL RTlib AmgX CGAL CGALCore VTune TASMANIAN ArrayFireCPU SimMesh SimModel SimParasolid SimAcis SimField Valgrind QUO ViennaCL Avatar mlpack pebbl MAGMASparse Check 107

Setting up export dependencies for all enabled SE packages ...

Probing the environment ...

-- USE_XSDK_DEFAULTS='FALSE'
-- BUILD_SHARED_LIBS='ON'
-- CMAKE_BUILD_TYPE='RELEASE'
-- MPI_BASE_DIR='/Users/sahirbutt/sw/opt/openmpi-4.0.3'
-- MPI_BIN_DIR='/Users/sahirbutt/sw/opt/openmpi-4.0.3/bin'
-- MPI_USE_COMPILER_WRAPPERS='ON'
-- MPI_C_COMPILER='/Users/sahirbutt/sw/opt/openmpi-4.0.3/bin/mpicc'
-- Setting CMAKE_C_COMPILER=${MPI_C_COMPILER}
-- CMAKE_C_COMPILER='/Users/sahirbutt/sw/opt/openmpi-4.0.3/bin/mpicc'
-- MPI_CXX_COMPILER='/Users/sahirbutt/sw/opt/openmpi-4.0.3/bin/mpicxx'
-- Setting CMAKE_CXX_COMPILER=${MPI_CXX_COMPILER}
-- CMAKE_CXX_COMPILER='/Users/sahirbutt/sw/opt/openmpi-4.0.3/bin/mpicxx'
-- Leaving current CMAKE_Fortran_COMPILER=/Users/sahirbutt/sw/opt/openmpi-4.0.3/bin/mpif90 since it is already set!
-- MPI_EXEC='/Users/sahirbutt/sw/opt/openmpi-4.0.3/bin/mpiexec'
-- The C compiler identification is GNU 11.0.0
-- Checking whether C compiler has -isysroot
-- Checking whether C compiler has -isysroot - yes
-- Checking whether C compiler supports OSX deployment target flag
-- Checking whether C compiler supports OSX deployment target flag - yes
-- Check for working C compiler: /Users/sahirbutt/sw/opt/openmpi-4.0.3/bin/mpicc
-- Check for working C compiler: /Users/sahirbutt/sw/opt/openmpi-4.0.3/bin/mpicc - works
-- Detecting C compiler ABI info
-- Detecting C compiler ABI info - done
-- Detecting C compile features
-- Detecting C compile features - done
-- CMAKE_C_COMPILER_ID='GNU'
-- CMAKE_C_COMPILER_VERSION='11.0.0'
-- The CXX compiler identification is GNU 11.0.0
-- Checking whether CXX compiler has -isysroot
-- Checking whether CXX compiler has -isysroot - yes
-- Checking whether CXX compiler supports OSX deployment target flag
-- Checking whether CXX compiler supports OSX deployment target flag - yes
-- Check for working CXX compiler: /Users/sahirbutt/sw/opt/openmpi-4.0.3/bin/mpicxx
-- Check for working CXX compiler: /Users/sahirbutt/sw/opt/openmpi-4.0.3/bin/mpicxx - works
-- Detecting CXX compiler ABI info
-- Detecting CXX compiler ABI info - done
-- Detecting CXX compile features
-- Detecting CXX compile features - done
-- CMAKE_CXX_COMPILER_ID='GNU'
-- CMAKE_CXX_COMPILER_VERSION='11.0.0'
-- The Fortran compiler identification is GNU 11.0.0
-- Checking whether Fortran compiler has -isysroot
-- Checking whether Fortran compiler has -isysroot - yes
-- Checking whether Fortran compiler supports OSX deployment target flag
-- Checking whether Fortran compiler supports OSX deployment target flag - yes
-- Check for working Fortran compiler: /Users/sahirbutt/sw/opt/openmpi-4.0.3/bin/mpif90
-- Check for working Fortran compiler: /Users/sahirbutt/sw/opt/openmpi-4.0.3/bin/mpif90 - works
-- Detecting Fortran compiler ABI info
-- Detecting Fortran compiler ABI info - done
-- Checking whether /Users/sahirbutt/sw/opt/openmpi-4.0.3/bin/mpif90 supports Fortran 90
-- Checking whether /Users/sahirbutt/sw/opt/openmpi-4.0.3/bin/mpif90 supports Fortran 90 - yes
-- Skip adding flags for OpenMP because Kokkos flags does that ...
-- Trilinos_SET_INSTALL_RPATH='TRUE'
-- CMAKE_INSTALL_RPATH_USE_LINK_PATH='TRUE'
-- Setting default for CMAKE_INSTALL_RPATH pointing to Trilinos_INSTALL_LIB_DIR
-- Setting default CMAKE_MACOSX_RPATH=TRUE
-- CMAKE_MACOSX_RPATH='TRUE'
-- CMAKE_INSTALL_RPATH='/Users/sahirbutt/sw/opt/Trilinos/lib'
-- Looking for C++ include sys/time.h
-- Looking for C++ include sys/time.h - found
-- Looking for C++ include time.h
-- Looking for C++ include time.h - found
-- Looking for C++ include stdint.h
-- Looking for C++ include stdint.h - found
-- Looking for C++ include inttypes.h
-- Looking for C++ include inttypes.h - found
-- Found Perl: /usr/bin/perl (found version "5.18.4")
-- Fortran name mangling: LOWER UNDER
-- Detecting Fortran/C Interface
-- Detecting Fortran/C Interface - Found GLOBAL and MODULE mangling
-- Verifying Fortran/CXX Compiler Compatibility
-- Verifying Fortran/CXX Compiler Compatibility - Success
-- Performing Test MATH_LIBRARY_IS_SUPPLIED
-- Performing Test MATH_LIBRARY_IS_SUPPLIED - Success
-- Performing Test FINITE_VALUE_HAVE_GLOBAL_ISNAN
-- Performing Test FINITE_VALUE_HAVE_GLOBAL_ISNAN - Failed
-- Performing Test FINITE_VALUE_HAVE_STD_ISNAN
-- Performing Test FINITE_VALUE_HAVE_STD_ISNAN - Success
-- Performing Test FINITE_VALUE_HAVE_GLOBAL_ISINF
-- Performing Test FINITE_VALUE_HAVE_GLOBAL_ISINF - Failed
-- Performing Test FINITE_VALUE_HAVE_STD_ISINF
-- Performing Test FINITE_VALUE_HAVE_STD_ISINF - Success
-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE)

Getting information for all enabled TPLs ...

Processing enabled TPL: MPI (enabled explicitly, disable with -DTPL_ENABLE_MPI=OFF)
Processing enabled TPL: BLAS (enabled explicitly, disable with -DTPL_ENABLE_BLAS=OFF)
-- BLAS_LIBRARY_NAMES='blas blas_win32'
-- Searching for libs in BLAS_LIBRARY_DIRS=''
-- Searching for a lib in the set "blas blas_win32":
--   Searching for lib 'blas' ...
--     Found lib '/usr/local/lib/libblas.dylib'
-- TPL_BLAS_LIBRARIES='/usr/local/lib/libblas.dylib'
Processing enabled TPL: LAPACK (enabled explicitly, disable with -DTPL_ENABLE_LAPACK=OFF)
-- LAPACK_LIBRARY_NAMES='lapack lapack_win32'
-- TPL_LAPACK_LIBRARIES='/usr/lib/liblapack.dylib'
Processing enabled TPL: Boost (enabled explicitly, disable with -DTPL_ENABLE_Boost=OFF)
-- Searching for headers in Boost_INCLUDE_DIRS='/Users/sahirbutt/sw/opt/boost_1_66_0/include'
-- Searching for a header file in the set "boost/version.hpp":
--   Searching for header 'boost/version.hpp' ...
--     Found header '/Users/sahirbutt/sw/opt/boost_1_66_0/include/boost/version.hpp'
-- Searching for a header file in the set "boost/mpl/at.hpp":
--   Searching for header 'boost/mpl/at.hpp' ...
--     Found header '/Users/sahirbutt/sw/opt/boost_1_66_0/include/boost/mpl/at.hpp'
-- Found TPL 'Boost' include dirs '/Users/sahirbutt/sw/opt/boost_1_66_0/include'
-- TPL_Boost_INCLUDE_DIRS='/Users/sahirbutt/sw/opt/boost_1_66_0/include'
Processing enabled TPL: Netcdf (enabled explicitly, disable with -DTPL_ENABLE_Netcdf=OFF)
-- Netcdf_LIBRARY_NAMES='netcdf;pnetcdf;curl'
-- Searching for libs in Netcdf_LIBRARY_DIRS='/Users/sahirbutt/sw/opt/netcdf-4.3.3.1/lib'
-- Searching for a lib in the set "netcdf":
--   Searching for lib 'netcdf' ...
--     Found lib '/Users/sahirbutt/sw/opt/netcdf-4.3.3.1/lib/libnetcdf.dylib'
-- Searching for a lib in the set "pnetcdf":
--   Searching for lib 'pnetcdf' ...
-- NOTE: Did not find a lib in the lib set "pnetcdf" for the TPL 'Netcdf'!
-- TPL_Netcdf_LIBRARIES='/Users/sahirbutt/sw/opt/netcdf-4.3.3.1/lib/libnetcdf.dylib'
-- Searching for headers in Netcdf_INCLUDE_DIRS='/Users/sahirbutt/sw/opt/netcdf-4.3.3.1/include'
-- Searching for a header file in the set "netcdf.h":
--   Searching for header 'netcdf.h' ...
--     Found header '/Users/sahirbutt/sw/opt/netcdf-4.3.3.1/include/netcdf.h'
-- Found TPL 'Netcdf' include dirs '/Users/sahirbutt/sw/opt/netcdf-4.3.3.1/include'
-- TPL_Netcdf_INCLUDE_DIRS='/Users/sahirbutt/sw/opt/netcdf-4.3.3.1/include'
-- TPL_Netcdf_PARALLEL is False
Processing enabled TPL: X11 (enabled by SEACASSVDI, disable with -DTPL_ENABLE_X11=OFF)
-- X11_LIBRARY_NAMES='X11'
-- Searching for libs in X11_LIBRARY_DIRS='/opt/X11/lib'
-- Searching for a lib in the set "X11":
--   Searching for lib 'X11' ...
--     Found lib '/opt/X11/lib/libX11.dylib'
-- TPL_X11_LIBRARIES='/opt/X11/lib/libX11.dylib'
-- Searching for headers in X11_INCLUDE_DIRS='/opt/X11/include'
-- Searching for a header file in the set "X11/Xlib.h":
--   Searching for header 'X11/Xlib.h' ...
--     Found header '/opt/X11/include/X11/Xlib.h'
-- Searching for a header file in the set "X11/Xutil.h":
--   Searching for header 'X11/Xutil.h' ...
--     Found header '/opt/X11/include/X11/Xutil.h'
-- Searching for a header file in the set "X11/Xos.h":
--   Searching for header 'X11/Xos.h' ...
--     Found header '/opt/X11/include/X11/Xos.h'
-- Searching for a header file in the set "X11/keysym.h":
--   Searching for header 'X11/keysym.h' ...
--     Found header '/opt/X11/include/X11/keysym.h'
-- Searching for a header file in the set "X11/Xresource.h":
--   Searching for header 'X11/Xresource.h' ...
--     Found header '/opt/X11/include/X11/Xresource.h'
-- Searching for a header file in the set "X11/Xatom.h":
--   Searching for header 'X11/Xatom.h' ...
--     Found header '/opt/X11/include/X11/Xatom.h'
-- Found TPL 'X11' include dirs '/opt/X11/include'
-- TPL_X11_INCLUDE_DIRS='/opt/X11/include'
Processing enabled TPL: DLlib (enabled explicitly, disable with -DTPL_ENABLE_DLlib=OFF)
-- Attempting to tentatively enable TPL 'DLlib' ...
-- DLlib_LIBRARY_NAMES='dl'
-- Searching for libs in DLlib_LIBRARY_DIRS=''
-- Searching for a lib in the set "dl":
--   Searching for lib 'dl' ...
--     Found lib '/usr/local/lib/libdl.dylib'
-- TPL_DLlib_LIBRARIES='/usr/local/lib/libdl.dylib'
-- Attempt to tentatively enable TPL 'DLlib' passed!

Setting up testing support ...

-- CTEST_DROP_METHOD='http'
-- CTEST_DROP_SITE='testing.sandia.gov'
-- CTEST_PROJECT_NAME='Trilinos'
-- CTEST_DROP_LOCATION='/cdash/submit.php?project=Trilinos'
-- CTEST_TRIGGER_SITE=''
-- CTEST_DROP_SITE_CDASH='TRUE'
-- TRIBITS_2ND_CTEST_DROP_SITE='testing-dev.sandia.gov'
-- TRIBITS_2ND_CTEST_DROP_LOCATION='/cdash/submit.php?project=Trilinos'

Configuring individual enabled Trilinos packages ...

Processing enabled package: Gtest (Libs)
Processing enabled package: Kokkos (Core, Containers, Algorithms)
-- Setting default Kokkos CXX standard to 11
-- Setting policy CMP0074 to use <Package>_ROOT variables
-- CTEST_DROP_SITE='testing.sandia.gov'
-- CTEST_PROJECT_NAME='Trilinos'
-- CTEST_DROP_LOCATION='/cdash/submit.php?project=Trilinos'
-- CTEST_TRIGGER_SITE=''
-- CTEST_DROP_SITE_CDASH='TRUE'
-- TRIBITS_2ND_CTEST_DROP_SITE='testing-dev.sandia.gov'
-- TRIBITS_2ND_CTEST_DROP_LOCATION='/cdash/submit.php?project=Trilinos'
-- CTEST_DROP_SITE='testing.sandia.gov'
-- CTEST_PROJECT_NAME='Trilinos'
-- CTEST_DROP_LOCATION='/cdash/submit.php?project=Trilinos'
-- CTEST_TRIGGER_SITE=''
-- CTEST_DROP_SITE_CDASH='TRUE'
-- TRIBITS_2ND_CTEST_DROP_SITE='testing-dev.sandia.gov'
-- TRIBITS_2ND_CTEST_DROP_LOCATION='/cdash/submit.php?project=Trilinos'
-- The project name is: Trilinos
-- Using -std=c++11 for C++11 standard as feature
-- Execution Spaces:
--     Device Parallel: NONE
--     Host Parallel: NONE
--       Host Serial: SERIAL
--
-- Architectures:
mProcessing enabled package: Teuchos (Core, Parser, ParameterList, Comm, Numerics, Remainder, KokkosCompat, KokkosComm, Tests)
-- Performing Test HAVE_GCC_ABI_DEMANGLE
ak-- Performing Test HAVE_GCC_ABI_DEMANGLE - Success
-- Performing Test HAVE_TEUCHOSCORE_BOOST_IS_POLYMORPHIC
e-- Performing Test HAVE_TEUCHOSCORE_BOOST_IS_POLYMORPHIC - Success
-- Performing Test HAVE_TEUCHOS_BLASFLOAT
-- Performing Test HAVE_TEUCHOS_BLASFLOAT - Failed
-- Performing Test HAVE_TEUCHOS_BLASFLOAT_APPLE_VECLIB_BUGFIX
-- Performing Test HAVE_TEUCHOS_BLASFLOAT_APPLE_VECLIB_BUGFIX - Failed
-- Performing Test HAVE_TEUCHOS_BLASFLOAT_DOUBLE_RETURN
-- Performing Test HAVE_TEUCHOS_BLASFLOAT_DOUBLE_RETURN - Success
-- Performing Test LAPACK_SLAPY2_WORKS
-- Performing Test LAPACK_SLAPY2_WORKS - Failed
-- Performing Test LAPACK_SLAPY2_WITH_DOUBLE_WORKS
-- Performing Test LAPACK_SLAPY2_WITH_DOUBLE_WORKS - Success
-- Performing Test HAVE_TEUCHOS_LAPACKLARND
-- Performing Test HAVE_TEUCHOS_LAPACKLARND - Failed
-- Performing Test HAVE_CXX_ATTRIBUTE_CONSTRUCTOR
-- Performing Test HAVE_CXX_ATTRIBUTE_CONSTRUCTOR - Success
-- C++ compiler supports __attribute__((constructor)) syntax
-- Performing Test HAVE_CXX_ATTRIBUTE_WEAK
-- Performing Test HAVE_CXX_ATTRIBUTE_WEAK - Failed
-- C++ compiler does NOT support __attribute__((weak)) syntax and testing weak functions
-- Performing Test HAVE_CXX_PRAGMA_WEAK
-- Performing Test HAVE_CXX_PRAGMA_WEAK - Failed
-- C++ compiler does NOT support #pragma weak syntax and testing weak functions
-- Wrapping every Teuchos timer with a Kokkos profiling region.
 Processing enabled package: KokkosKernels (Libs)
-- Setting policy CMP0074 to use <Package>_ROOT variables
-- CTEST_DROP_SITE='testing.sandia.gov'
-- CTEST_PROJECT_NAME='Trilinos'
-- CTEST_DROP_LOCATION='/cdash/submit.php?project=Trilinos'
-- CTEST_TRIGGER_SITE=''
-- CTEST_DROP_SITE_CDASH='TRUE'
-- TRIBITS_2ND_CTEST_DROP_SITE='testing-dev.sandia.gov'
-- TRIBITS_2ND_CTEST_DROP_LOCATION='/cdash/submit.php?project=Trilinos'
-- The project name is: Trilinos
-- CTEST_DROP_SITE='testing.sandia.gov'
-- CTEST_PROJECT_NAME='Trilinos'
-- CTEST_DROP_LOCATION='/cdash/submit.php?project=Trilinos'
-- CTEST_TRIGGER_SITE=''
-- CTEST_DROP_SITE_CDASH='TRUE'
-- TRIBITS_2ND_CTEST_DROP_SITE='testing-dev.sandia.gov'
-- TRIBITS_2ND_CTEST_DROP_LOCATION='/cdash/submit.php?project=Trilinos'
Overriding KOKKOSKERNELS_ENABLE_TPL_BLAS=OFF with TPL_ENABLE_BLAS=ON
Overriding KOKKOSKERNELS_ENABLE_TPL_LAPACK=OFF with TPL_ENABLE_LAPACK=ON
-- Performing Test KOKKOSKERNELS_TPL_BLAS_RETURN_COMPLEX
-j-- Performing Test KOKKOSKERNELS_TPL_BLAS_RETURN_COMPLEX - Failed

=======================
KokkosKernels ETI Types
   Devices:  <Serial,HostSpace>
   Scalars:  double
   Ordinals: int
   Offsets:  int;size_t
   Layouts:  LayoutLeft

KokkosKernels TPLs
   BLAS:
   LAPACK:
=======================

-- Creating ETI files for Blas1_abs
-- Creating ETI files for Blas1_abs_mv
-- Creating ETI files for Blas1_scal
-- Creating ETI files for Blas1_scal_mv
-- Creating ETI files for Blas1_dot
-- Creating ETI files for Blas1_dot_mv
-- Creating ETI files for Blas_gesv
-- Creating ETI files for Blas1_axpby
-- Creating ETI files for Blas1_axpby_mv
-- Creating ETI files for Blas1_update
-- Creating ETI files for Blas1_update_mv
-- Creating ETI files for Blas1_sum
-- Creating ETI files for Blas1_sum_mv
-- Creating ETI files for Blas1_nrm1
-- Creating ETI files for Blas1_nrm1_mv
-- Creating ETI files for Blas1_nrm2w
-- Creating ETI files for Blas1_nrm2w_mv
-- Creating ETI files for Blas1_nrminf
-- Creating ETI files for Blas1_nrminf_mv
-- Creating ETI files for Blas1_iamax
-- Creating ETI files for Blas1_iamax_mv
-- Creating ETI files for Blas1_nrm2
-- Creating ETI files for Blas1_nrm2_mv
-- Creating ETI files for Blas1_mult
-- Creating ETI files for Blas1_mult_mv
-- Creating ETI files for Blas1_reciprocal
-- Creating ETI files for Blas1_reciprocal_mv
-- Creating ETI files for Blas2_gemv
-- Creating ETI files for Blas3_gemm
-- Creating ETI files for Blas3_trsm
-- Creating ETI files for Sparse_sptrsv_solve
-- Creating ETI files for Sparse_spmv_struct
-- Creating ETI files for Sparse_spmv_mv_struct
-- Creating ETI files for Sparse_spmv
-- Creating ETI files for Sparse_spmv_mv
-- Creating ETI files for Sparse_spgemm_symbolic
-- Creating ETI files for Sparse_spgemm_numeric
-- Creating ETI files for Sparse_spgemm_jacobi
-- Creating ETI files for Sparse_spiluk_symbolic
-- Creating ETI files for Sparse_spiluk_numeric
 -- Creating ETI files for Sparse_sptrsv_symbolic
-- Creating ETI files for Sparse_trsv
-- Creating ETI files for Sparse_gauss_seidel_symbolic
-- Creating ETI files for Sparse_gauss_seidel_numeric
-- Creating ETI files for Sparse_gauss_seidel_apply
Processing enabled package: RTOp (Libs, Tests)
Processing enabled package: Sacado (Libs, Tests)
-- Performing Test HAS_C99_TR1_CMATH
-- Performing Test HAS_C99_TR1_CMATH - Success
8Processing enabled package: MiniTensor (Libs, Tests)
Processing enabled package: Epetra (Libs, Tests)
-- Looking for dggsvd3
-- Looking for dggsvd3 - not found
-- Looking for dggsvd3_
-- Looking for dggsvd3_ - not found
-- Looking for DGGSVD3
-- Looking for DGGSVD3 - not found
-- Looking for DGGSVD3_
-- Looking for DGGSVD3_ - not found
Did not find new version of lapack. dggsvd3 is not available.
Processing enabled package: Zoltan (Libs, Tests)
-- Zoltan_ch_brack2_3_zoltan_serial: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Zoltan_ch_bug_zoltan_serial: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Zoltan_ch_degenerate_zoltan_serial: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Zoltan_ch_degenerateAA_zoltan_serial: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Zoltan_ch_drake_zoltan_serial: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Zoltan_ch_ewgt_zoltan_serial: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Zoltan_ch_grid20x19_zoltan_serial: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Zoltan_ch_hammond_zoltan_serial: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Zoltan_ch_hammond2_zoltan_serial: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Zoltan_ch_hughes_zoltan_serial: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Zoltan_ch_nograph_zoltan_serial: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Zoltan_ch_onedbug_zoltan_serial: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Zoltan_ch_serial_zoltan_serial: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Zoltan_ch_simple_zoltan_serial: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Zoltan_ch_simple3d_zoltan_serial: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Zoltan_ch_vwgt_zoltan_serial: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Zoltan_ch_vwgt2_zoltan_serial: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Zoltan_hg_cage10_zoltan_serial: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Zoltan_hg_diag500_4_zoltan_serial: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Zoltan_hg_ewgt_zoltan_serial: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Zoltan_hg_felix_zoltan_serial: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Zoltan_hg_ibm03_zoltan_serial: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Zoltan_hg_nograph_zoltan_serial: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Zoltan_hg_simple_zoltan_serial: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Zoltan_hg_vwgt_zoltan_serial: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
Processing enabled package: Shards (Libs, Tests)
Processing enabled package: Triutils (Libs, Tests)
Processing enabled package: EpetraExt (Libs, Tests)
-- EpetraExt_EpetraExt_MapColoring_test: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- EpetraExt_EpetraExt_MapColoring_test_LL: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
Processing enabled package: Tpetra (Classic, TSQR, Core, Tests)
-- Tpetra: Enabling deprecated code
-- Determine whether Tpetra will assume that MPI is CUDA aware:
--   - Tpetra_ENABLE_CUDA is OFF, so Tpetra will assume that MPI is not CUDA aware.
-- Tpetra execution space availability (ON means available):
--   - Serial:  ON
--   - Threads: OFF
--   - OpenMP:  OFF
--   - Cuda:    OFF
-- Tpetra: Tpetra_INST_INT_LONG_LONG is enabled by default.
-- Tpetra: Tpetra_INST_INT_UNSIGNED is disabled by default.
-- Tpetra: Tpetra_INST_INT_UNSIGNED_LONG is disabled by default.
-- Tpetra: Tpetra_INST_INT_INT is disabled by default.
-- Tpetra: Tpetra_INST_INT_LONG is disabled by default.
--
-- Tpetra: Validate global ordinal setting ...
-- Tpetra: global ordinal setting is OK
--
-- Setting default Node to Kokkos::Compat::KokkosSerialWrapperNode.
-- Tpetra: Performance-CGSolve test ENABLED
-- TpetraCore_TpetraUtils_UnitTests: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- TpetraCore_TpetraUtils_merge: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- TpetraCore_createPrefix_no_MPI: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
Processing enabled package: TrilinosSS (Libs)
Processing enabled package: Domi (Libs, Tests)
Processing enabled package: Thyra (Core, EpetraAdapters, EpetraExtAdapters, TpetraAdapters, Tests)
-- ThyraCore_test_composite_linear_ops_serial: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
Processing enabled package: Xpetra (Libs, Tests)
-- Xpetra: Enabling deprecated code
-- Xpetra: Disabling ETI
-- Could NOT find Doxygen (missing: DOXYGEN_EXECUTABLE)
Processing enabled package: Isorropia (Libs, Tests)
Processing enabled package: Pliris (Libs, Tests)
     Building the double precision(default) library
Processing enabled package: AztecOO (Libs, Tests)
Processing enabled package: Galeri (Libs, Tests)
-- Galeri_VerySimple: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
Processing enabled package: Amesos (Libs, Tests)
Processing enabled package: Pamgen (Libs, Tests)
-- Pamgen_run_test_script_01: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_02: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_03: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_04: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_05: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_06: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_07: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_08: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_09: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_10: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_11: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_13: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_14: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_15: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_16: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_17: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_18: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_19: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_20: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_21: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_22: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_23: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_24: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_25: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_26: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_27: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_28: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_29: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_30: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_31: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_32: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_33: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_34: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_35: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_36: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_37: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_38: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_39: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_40: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_41: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_42: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_43: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_44: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_45: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_46: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_47: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_48: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_49: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_50: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_51: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_52: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_53: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_54: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_55: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_56: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_57: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_58: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_59: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_60: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_61: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_62: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_63: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_64: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_65: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_66: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_67: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_68: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_run_test_script_69: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Pamgen_rtc_test: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
Processing enabled package: Zoltan2 (Libs, Tests)
Processing enabled package: Ifpack (Libs, Tests)
Processing enabled package: ML (Libs, Tests)
Processing enabled package: Belos (Libs, Tests)
Processing enabled package: ShyLU_Node (HTS, Tacho)
Processing enabled package: Amesos2 (Libs, Tests)
Processing enabled package: SEACAS (Exodus, Exodus_for, ExoIIv2for32, Nemesis, Ioss, Chaco, Aprepro_lib, Supes, Suplib, SuplibC, SuplibCpp, SVDI, PLT, Algebra, Aprepro, Blot, Conjoin, Ejoin, Epu, Exodiff, Exomatlab, Exotxt, Exo_format, Ex1ex2v2, Fastq, Gjoin, Gen3D, Genshell, Grepos, Explore, Mapvarlib, Mapvar, Mapvar-kd, Nemslice, Nemspread, Numbers, Txtexo, Ex2ex1v2, Tests)
-- Looking for sys/resource.h
-- Looking for sys/resource.h - found
-- A Python-2 version of exodus.py and exomerge.py will be installed.
Processing enabled package: Komplex (Libs, Tests)
Processing enabled package: Anasazi (Libs, Tests)
-- Anasazi_Epetra_BKS_QEVP_test: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
Processing enabled package: Ifpack2 (Libs, Tests)
Processing enabled package: Stratimikos (Libs, Tests)
Processing enabled package: Teko (Libs, Tests)
Processing enabled package: Intrepid (Libs, Tests)
Processing enabled package: Intrepid2 (Libs, Tests)
Processing enabled package: Phalanx (Libs, Tests)
-- Allow Multiple Evaluator Registration for Same Field: ON
-- Execution Space: SERIAL
-- Index Size Type: INT
-- Sacado Hierarchic DFAD support: FALSE
-- Experimental Device DAG support: OFF
-- Experimental Kokkos AMT support: OFF
Processing enabled package: NOX (Libs, Tests)
Processing enabled package: Moertel (Libs, Tests)
Processing enabled package: ShyLU_DD (BDDC, FROSch, Common, Tests)
-- ShyLU's Epetra is being DISABLED
-- FROSch tests running with Tpetra_DefaultNode type Kokkos::Compat::KokkosSerialWrapperNode
Processing enabled package: ShyLU (Libs, Tests)
Processing enabled package: Rythmos (Libs, Tests)
-- Rythmos_StackedStepper_UnitTest: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
-- Rythmos_CharonRythmos_UnitTest: NOT added test because TPL_ENABLE_MPI='ON' and COMM='serial'!
Processing enabled package: Tempus (Libs, Tests)
Processing enabled package: Stokhos (Libs, Tests)
CMake Warning (dev) at packages/stokhos/CMakeLists.txt:46 (SET):
  implicitly converting 'INTEGER' to 'STRING' type.
This warning is for project developers.  Use -Wno-dev to suppress it.

CMake Warning (dev) at packages/stokhos/CMakeLists.txt:47 (SET):
  implicitly converting 'INTEGER' to 'STRING' type.
This warning is for project developers.  Use -Wno-dev to suppress it.

-- Enabling ensemble sizes 16
-- Ensemble size for testing is 16
-- Looking for C++ include immintrin.h
-- Looking for C++ include immintrin.h - found
Processing enabled package: ROL (Libs, Tests)
-- ROL has been configured to use the build options:
--   ROL::Ptr           is implemented by Teuchos::RCP
--   ROL::ParameterList is implemented by Teuchos::ParameterList
--   ROL::stacktrace    is implemented by Teuchos::stacktrace
--   ROL::LinearAlgebra is implemented by Teuchos::SerialDense
--   ROL::LAPACK        is implemented by Teuchos::LAPACK
--   ROL::BLAS          is implemented by Teuchos::BLAS
Processing enabled package: Piro (Libs, Tests)
Processing enabled package: Panzer (Core, DofMgr, DiscFE, Tests)
-- Convergence tests: ON
-- Global Indexer Epetra Utilities On
-- Hessian support Off
Processing enabled package: TrilinosCouplings (Libs, Tests)
Processing enabled package: Pike (BlackBox, Implicit, Tests)

Processing explicit instantiation support for enabled packages ...

Processing ETI support: Ifpack2
-- Ifpack2: Processing ETI / test support
-- Enabled Scalar types:        double
-- Enabled LocalOrdinal types:  int
-- Enabled GlobalOrdinal types: long long
-- Enabled Node types:          Kokkos::Compat::KokkosSerialWrapperNode
-- Set of enabled types, before exclusions: S={double} N={Kokkos::Compat::KokkosSerialWrapperNode} LO={int} GO={long long}
Processing ETI support: TpetraCore
-- TpetraCore: Processing ETI / test support
-- Enabled Scalar types:        long long|double
-- Enabled LocalOrdinal types:  int
-- Enabled GlobalOrdinal types: long long
-- Enabled Node types:          Kokkos::Compat::KokkosSerialWrapperNode
-- Set of enabled types, before exclusions: S={int} LO={int} GO={long long} N={Kokkos::Compat::KokkosSerialWrapperNode};S={long long} N={Kokkos::Compat::KokkosSerialWrapperNode} LO={int} GO={long long};S={double} N={Kokkos::Compat::KokkosSerialWrapperNode} LO={int} GO={long long}

Set up for creating a distribution ...

Finished configuring Trilinos!

-- Configuring done
-- Generating done
CMake Warning:
  Manually-specified variables were not used by the project:

    HDF5_INCLUDE_DIRS
    HDF5_LIBRARY_DIRS

-- Build files have been written to: /Users/sahirbutt/sw/Trilinos/build
cgcgcg commented 4 years ago

@ccober6 You're using Mac & Clang, right? Any ideas?

kddevin commented 4 years ago
GNU C++11 (GCC) version 11.0.0 20200626 (experimental)

I'm certain we have not tested with this gcc compiler. To narrow the search for the problem, do you have an older gcc compiler that you can try? We test Trilinos with gcc 7.2 and gcc 8.3 (also clang 9.0).

sahirbutt commented 4 years ago

@kddevin Thanks! Now I used gcc-8.4 (Homebrew), I don't get that error anymore and TeuchosComm is built. But now I got Undefined symbols for architecture x86_64: error at following build line:

cd /Users/sahirbutt/sw/Trilinos/build/packages/xpetra/test/CrsMatrix && /usr/local/Cellar/cmake/3.17.3/bin/cmake -E cmake_link_script CMakeFiles/Xpetra_CrsMatrix_UnitTests.dir/link.txt --verbose=1

which does:

/usr/local/bin/mpicxx -v -pedantic -Wall -Wno-long-long -Wwrite-strings -Wshadow -Woverloaded-virtual -O2 -std=c++11 -pedantic -ftrapv -Wall -Wno-long-long -I/usr/local/include -O3 -DNDEBUG -isysroot /Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX10.15.sdk -Wl,-search_paths_first -Wl,-headerpad_max_install_names CMakeFiles/Xpetra_CrsMatrix_UnitTests.dir/CrsMatrix_UnitTests.cpp.o CMakeFiles/Xpetra_CrsMatrix_UnitTests.dir/__/Xpetra_UnitTests.cpp.o -o Xpetra_CrsMatrix_UnitTests.exe -Wl,-rpath,/Users/sahirbutt/sw/Trilinos/build/packages/xpetra/sup -Wl,-rpath,/Users/sahirbutt/sw/Trilinos/build/packages/xpetra/src -Wl,-rpath,/Users/sahirbutt/sw/Trilinos/build/packages/thyra/adapters/tpetra/src -Wl,-rpath,/Users/sahirbutt/sw/Trilinos/build/packages/thyra/adapters/epetraext/src -Wl,-rpath,/Users/sahirbutt/sw/Trilinos/build/packages/thyra/adapters/epetra/src -Wl,-rpath,/Users/sahirbutt/sw/Trilinos/build/packages/thyra/core/src -Wl,-rpath,/Users/sahirbutt/sw/Trilinos/build/packages/tpetra/core/ext -Wl,-rpath,/Users/sahirbutt/sw/Trilinos/build/packages/tpetra/core/inout -Wl,-rpath,/Users/sahirbutt/sw/Trilinos/build/packages/tpetra/core/src -Wl,-rpath,/Users/sahirbutt/sw/Trilinos/build/packages/tpetra/tsqr/src -Wl,-rpath,/Users/sahirbutt/sw/Trilinos/build/packages/tpetra/classic/LinAlg -Wl,-rpath,/Users/sahirbutt/sw/Trilinos/build/packages/tpetra/classic/NodeAPI -Wl,-rpath,/Users/sahirbutt/sw/Trilinos/build/packages/tpetra/classic/src -Wl,-rpath,/Users/sahirbutt/sw/Trilinos/build/packages/kokkos-kernels/src -Wl,-rpath,/Users/sahirbutt/sw/Trilinos/build/packages/epetraext/src -Wl,-rpath,/Users/sahirbutt/sw/Trilinos/build/packages/triutils/src -Wl,-rpath,/Users/sahirbutt/sw/Trilinos/build/packages/rtop/src -Wl,-rpath,/Users/sahirbutt/sw/Trilinos/build/packages/epetra/src -Wl,-rpath,/Users/sahirbutt/sw/Trilinos/build/packages/kokkos/algorithms/src -Wl,-rpath,/Users/sahirbutt/sw/Trilinos/build/packages/kokkos/containers/src -Wl,-rpath,/Users/sahirbutt/sw/Trilinos/build/packages/teuchos/kokkoscomm/src -Wl,-rpath,/Users/sahirbutt/sw/Trilinos/build/packages/teuchos/kokkoscompat/src -Wl,-rpath,/Users/sahirbutt/sw/Trilinos/build/packages/teuchos/remainder/src -Wl,-rpath,/Users/sahirbutt/sw/Trilinos/build/packages/teuchos/numerics/src -Wl,-rpath,/Users/sahirbutt/sw/Trilinos/build/packages/teuchos/comm/src -Wl,-rpath,/Users/sahirbutt/sw/Trilinos/build/packages/teuchos/parameterlist/src -Wl,-rpath,/Users/sahirbutt/sw/Trilinos/build/packages/teuchos/parser/src -Wl,-rpath,/Users/sahirbutt/sw/Trilinos/build/packages/teuchos/core/src -Wl,-rpath,/Users/sahirbutt/sw/Trilinos/build/packages/kokkos/core/src ../../sup/libxpetra-sup.12.17.dylib ../../src/libxpetra.12.17.dylib ../../../thyra/adapters/tpetra/src/libthyratpetra.12.17.dylib ../../../thyra/adapters/epetraext/src/libthyraepetraext.12.17.dylib ../../../thyra/adapters/epetra/src/libthyraepetra.12.17.dylib ../../../thyra/core/src/libthyracore.12.17.dylib ../../../tpetra/core/ext/libtpetraext.12.17.dylib ../../../tpetra/core/inout/libtpetrainout.12.17.dylib ../../../tpetra/core/src/libtpetra.12.17.dylib ../../../tpetra/tsqr/src/libkokkostsqr.12.17.dylib ../../../tpetra/classic/LinAlg/libtpetraclassiclinalg.12.17.dylib ../../../tpetra/classic/NodeAPI/libtpetraclassicnodeapi.12.17.dylib ../../../tpetra/classic/src/libtpetraclassic.12.17.dylib ../../../kokkos-kernels/src/libkokkoskernels.12.17.dylib ../../../epetraext/src/libepetraext.12.17.dylib ../../../triutils/src/libtriutils.12.17.dylib ../../../rtop/src/librtop.12.17.dylib ../../../epetra/src/libepetra.12.17.dylib ../../../kokkos/algorithms/src/libkokkosalgorithms.12.17.dylib ../../../kokkos/containers/src/libkokkoscontainers.3.1.1.dylib ../../../teuchos/kokkoscomm/src/libteuchoskokkoscomm.12.17.dylib ../../../teuchos/kokkoscompat/src/libteuchoskokkoscompat.12.17.dylib ../../../teuchos/remainder/src/libteuchosremainder.12.17.dylib ../../../teuchos/numerics/src/libteuchosnumerics.12.17.dylib ../../../teuchos/comm/src/libteuchoscomm.12.17.dylib ../../../teuchos/parameterlist/src/libteuchosparameterlist.12.17.dylib ../../../teuchos/parser/src/libteuchosparser.12.17.dylib ../../../teuchos/core/src/libteuchoscore.12.17.dylib ../../../kokkos/core/src/libkokkoscore.3.1.1.dylib /usr/local/lib/libdl.dylib /usr/lib/liblapack.dylib /usr/local/lib/libblas.dylib

And output for above is:

Using built-in specs.
COLLECT_GCC=/usr/local/bin/g++-8
COLLECT_LTO_WRAPPER=/usr/local/Cellar/gcc@8/8.4.0_1/libexec/gcc/x86_64-apple-darwin19/8.4.0/lto-wrapper
Target: x86_64-apple-darwin19
Configured with: ../configure --build=x86_64-apple-darwin19 --prefix=/usr/local/Cellar/gcc@8/8.4.0_1 --libdir=/usr/local/Cellar/gcc@8/8.4.0_1/lib/gcc/8 --disable-nls --enable-checking=release --enable-languages=c,c++,objc,obj-c++,fortran --program-suffix=-8 --with-gmp=/usr/local/opt/gmp --with-mpfr=/usr/local/opt/mpfr --with-mpc=/usr/local/opt/libmpc --with-isl=/usr/local/opt/isl --with-system-zlib --with-pkgversion='Homebrew GCC 8.4.0_1' --with-bugurl=https://github.com/Homebrew/homebrew-core/issues --disable-multilib --with-native-system-header-dir=/usr/include --with-sysroot=/Library/Developer/CommandLineTools/SDKs/MacOSX10.15.sdk SED=/usr/bin/sed
Thread model: posix
gcc version 8.4.0 (Homebrew GCC 8.4.0_1)
COMPILER_PATH=/usr/local/Cellar/gcc@8/8.4.0_1/libexec/gcc/x86_64-apple-darwin19/8.4.0/:/usr/local/Cellar/gcc@8/8.4.0_1/libexec/gcc/x86_64-apple-darwin19/8.4.0/:/usr/local/Cellar/gcc@8/8.4.0_1/libexec/gcc/x86_64-apple-darwin19/:/usr/local/Cellar/gcc@8/8.4.0_1/lib/gcc/8/gcc/x86_64-apple-darwin19/8.4.0/:/usr/local/Cellar/gcc@8/8.4.0_1/lib/gcc/8/gcc/x86_64-apple-darwin19/
LIBRARY_PATH=/usr/local/Cellar/gcc@8/8.4.0_1/lib/gcc/8/gcc/x86_64-apple-darwin19/8.4.0/:/usr/local/Cellar/gcc@8/8.4.0_1/lib/gcc/8/gcc/x86_64-apple-darwin19/8.4.0/../../../
COLLECT_GCC_OPTIONS='-v' '-Wwrite-strings' '-Wshadow' '-Woverloaded-virtual' '-O2' '-std=c++11' '-Wpedantic' '-ftrapv' '-Wall' '-Wno-long-long' '-I' '/usr/local/include' '-O3' '-D' 'NDEBUG' '-isysroot' '/Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX10.15.sdk' '-o' 'Xpetra_CrsMatrix_UnitTests.exe' '-I' '/usr/local/Cellar/open-mpi/4.0.4/include' '-L/usr/local/opt/libevent/lib' '-L/usr/local/Cellar/open-mpi/4.0.4/lib' '-mmacosx-version-min=10.15.0' '-asm_macosx_version_min=10.15' '-shared-libgcc' '-mtune=core2'
 /usr/local/Cellar/gcc@8/8.4.0_1/libexec/gcc/x86_64-apple-darwin19/8.4.0/collect2 -dynamic -arch x86_64 -macosx_version_min 10.15.0 -syslibroot /Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX10.15.sdk -weak_reference_mismatches non-weak -o Xpetra_CrsMatrix_UnitTests.exe -L/usr/local/opt/libevent/lib -L/usr/local/Cellar/open-mpi/4.0.4/lib -L/usr/local/Cellar/gcc@8/8.4.0_1/lib/gcc/8/gcc/x86_64-apple-darwin19/8.4.0 -L/usr/local/Cellar/gcc@8/8.4.0_1/lib/gcc/8/gcc/x86_64-apple-darwin19/8.4.0/../../.. -search_paths_first -headerpad_max_install_names CMakeFiles/Xpetra_CrsMatrix_UnitTests.dir/CrsMatrix_UnitTests.cpp.o CMakeFiles/Xpetra_CrsMatrix_UnitTests.dir/__/Xpetra_UnitTests.cpp.o -rpath /Users/sahirbutt/sw/Trilinos/build/packages/xpetra/sup -rpath /Users/sahirbutt/sw/Trilinos/build/packages/xpetra/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/thyra/adapters/tpetra/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/thyra/adapters/epetraext/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/thyra/adapters/epetra/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/thyra/core/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/tpetra/core/ext -rpath /Users/sahirbutt/sw/Trilinos/build/packages/tpetra/core/inout -rpath /Users/sahirbutt/sw/Trilinos/build/packages/tpetra/core/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/tpetra/tsqr/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/tpetra/classic/LinAlg -rpath /Users/sahirbutt/sw/Trilinos/build/packages/tpetra/classic/NodeAPI -rpath /Users/sahirbutt/sw/Trilinos/build/packages/tpetra/classic/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/kokkos-kernels/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/epetraext/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/triutils/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/rtop/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/epetra/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/kokkos/algorithms/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/kokkos/containers/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/teuchos/kokkoscomm/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/teuchos/kokkoscompat/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/teuchos/remainder/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/teuchos/numerics/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/teuchos/comm/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/teuchos/parameterlist/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/teuchos/parser/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/teuchos/core/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/kokkos/core/src ../../sup/libxpetra-sup.12.17.dylib ../../src/libxpetra.12.17.dylib ../../../thyra/adapters/tpetra/src/libthyratpetra.12.17.dylib ../../../thyra/adapters/epetraext/src/libthyraepetraext.12.17.dylib ../../../thyra/adapters/epetra/src/libthyraepetra.12.17.dylib ../../../thyra/core/src/libthyracore.12.17.dylib ../../../tpetra/core/ext/libtpetraext.12.17.dylib ../../../tpetra/core/inout/libtpetrainout.12.17.dylib ../../../tpetra/core/src/libtpetra.12.17.dylib ../../../tpetra/tsqr/src/libkokkostsqr.12.17.dylib ../../../tpetra/classic/LinAlg/libtpetraclassiclinalg.12.17.dylib ../../../tpetra/classic/NodeAPI/libtpetraclassicnodeapi.12.17.dylib ../../../tpetra/classic/src/libtpetraclassic.12.17.dylib ../../../kokkos-kernels/src/libkokkoskernels.12.17.dylib ../../../epetraext/src/libepetraext.12.17.dylib ../../../triutils/src/libtriutils.12.17.dylib ../../../rtop/src/librtop.12.17.dylib ../../../epetra/src/libepetra.12.17.dylib ../../../kokkos/algorithms/src/libkokkosalgorithms.12.17.dylib ../../../kokkos/containers/src/libkokkoscontainers.3.1.1.dylib ../../../teuchos/kokkoscomm/src/libteuchoskokkoscomm.12.17.dylib ../../../teuchos/kokkoscompat/src/libteuchoskokkoscompat.12.17.dylib ../../../teuchos/remainder/src/libteuchosremainder.12.17.dylib ../../../teuchos/numerics/src/libteuchosnumerics.12.17.dylib ../../../teuchos/comm/src/libteuchoscomm.12.17.dylib ../../../teuchos/parameterlist/src/libteuchosparameterlist.12.17.dylib ../../../teuchos/parser/src/libteuchosparser.12.17.dylib ../../../teuchos/core/src/libteuchoscore.12.17.dylib ../../../kokkos/core/src/libkokkoscore.3.1.1.dylib /usr/local/lib/libdl.dylib /usr/lib/liblapack.dylib /usr/local/lib/libblas.dylib -lmpi -lstdc++ -no_compact_unwind -lSystem -lgcc_ext.10.5 -lgcc -lSystem -no_compact_unwind -v
collect2 version 8.4.0
/usr/bin/ld -dynamic -arch x86_64 -macosx_version_min 10.15.0 -syslibroot /Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX10.15.sdk -weak_reference_mismatches non-weak -o Xpetra_CrsMatrix_UnitTests.exe -L/usr/local/opt/libevent/lib -L/usr/local/Cellar/open-mpi/4.0.4/lib -L/usr/local/Cellar/gcc@8/8.4.0_1/lib/gcc/8/gcc/x86_64-apple-darwin19/8.4.0 -L/usr/local/Cellar/gcc@8/8.4.0_1/lib/gcc/8/gcc/x86_64-apple-darwin19/8.4.0/../../.. -search_paths_first -headerpad_max_install_names CMakeFiles/Xpetra_CrsMatrix_UnitTests.dir/CrsMatrix_UnitTests.cpp.o CMakeFiles/Xpetra_CrsMatrix_UnitTests.dir/__/Xpetra_UnitTests.cpp.o -rpath /Users/sahirbutt/sw/Trilinos/build/packages/xpetra/sup -rpath /Users/sahirbutt/sw/Trilinos/build/packages/xpetra/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/thyra/adapters/tpetra/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/thyra/adapters/epetraext/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/thyra/adapters/epetra/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/thyra/core/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/tpetra/core/ext -rpath /Users/sahirbutt/sw/Trilinos/build/packages/tpetra/core/inout -rpath /Users/sahirbutt/sw/Trilinos/build/packages/tpetra/core/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/tpetra/tsqr/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/tpetra/classic/LinAlg -rpath /Users/sahirbutt/sw/Trilinos/build/packages/tpetra/classic/NodeAPI -rpath /Users/sahirbutt/sw/Trilinos/build/packages/tpetra/classic/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/kokkos-kernels/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/epetraext/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/triutils/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/rtop/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/epetra/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/kokkos/algorithms/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/kokkos/containers/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/teuchos/kokkoscomm/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/teuchos/kokkoscompat/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/teuchos/remainder/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/teuchos/numerics/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/teuchos/comm/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/teuchos/parameterlist/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/teuchos/parser/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/teuchos/core/src -rpath /Users/sahirbutt/sw/Trilinos/build/packages/kokkos/core/src ../../sup/libxpetra-sup.12.17.dylib ../../src/libxpetra.12.17.dylib ../../../thyra/adapters/tpetra/src/libthyratpetra.12.17.dylib ../../../thyra/adapters/epetraext/src/libthyraepetraext.12.17.dylib ../../../thyra/adapters/epetra/src/libthyraepetra.12.17.dylib ../../../thyra/core/src/libthyracore.12.17.dylib ../../../tpetra/core/ext/libtpetraext.12.17.dylib ../../../tpetra/core/inout/libtpetrainout.12.17.dylib ../../../tpetra/core/src/libtpetra.12.17.dylib ../../../tpetra/tsqr/src/libkokkostsqr.12.17.dylib ../../../tpetra/classic/LinAlg/libtpetraclassiclinalg.12.17.dylib ../../../tpetra/classic/NodeAPI/libtpetraclassicnodeapi.12.17.dylib ../../../tpetra/classic/src/libtpetraclassic.12.17.dylib ../../../kokkos-kernels/src/libkokkoskernels.12.17.dylib ../../../epetraext/src/libepetraext.12.17.dylib ../../../triutils/src/libtriutils.12.17.dylib ../../../rtop/src/librtop.12.17.dylib ../../../epetra/src/libepetra.12.17.dylib ../../../kokkos/algorithms/src/libkokkosalgorithms.12.17.dylib ../../../kokkos/containers/src/libkokkoscontainers.3.1.1.dylib ../../../teuchos/kokkoscomm/src/libteuchoskokkoscomm.12.17.dylib ../../../teuchos/kokkoscompat/src/libteuchoskokkoscompat.12.17.dylib ../../../teuchos/remainder/src/libteuchosremainder.12.17.dylib ../../../teuchos/numerics/src/libteuchosnumerics.12.17.dylib ../../../teuchos/comm/src/libteuchoscomm.12.17.dylib ../../../teuchos/parameterlist/src/libteuchosparameterlist.12.17.dylib ../../../teuchos/parser/src/libteuchosparser.12.17.dylib ../../../teuchos/core/src/libteuchoscore.12.17.dylib ../../../kokkos/core/src/libkokkoscore.3.1.1.dylib /usr/local/lib/libdl.dylib /usr/lib/liblapack.dylib /usr/local/lib/libblas.dylib -lmpi -lstdc++ -no_compact_unwind -lSystem -lgcc_ext.10.5 -lgcc -lSystem -no_compact_unwind -v
@(#)PROGRAM:ld  PROJECT:ld64-556.6
BUILD 17:57:49 Apr 24 2020
configured to support archs: armv6 armv7 armv7s arm64 arm64e arm64_32 i386 x86_64 x86_64h armv6m armv7k armv7m armv7em
Library search paths:
    /usr/local/opt/libevent/lib
    /usr/local/Cellar/open-mpi/4.0.4/lib
    /usr/local/Cellar/gcc@8/8.4.0_1/lib/gcc/8/gcc/x86_64-apple-darwin19/8.4.0
    /usr/local/Cellar/gcc@8/8.4.0_1/lib/gcc/8
    /Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX10.15.sdk/usr/lib
Framework search paths:
    /Applications/Xcode.app/Contents/Developer/Platforms/MacOSX.platform/Developer/SDKs/MacOSX10.15.sdk/System/Library/Frameworks/
Undefined symbols for architecture x86_64:
  "Xpetra::TpetraVector<double, int, long long, Kokkos::Compat::KokkosDeviceWrapperNode<Kokkos::Serial, Kokkos::HostSpace> >::TpetraVector(Teuchos::RCP<Xpetra::Map<int, long long, Kokkos::Compat::KokkosDeviceWrapperNode<Kokkos::Serial, Kokkos::HostSpace> > const> const&, bool)", referenced from:
      Xpetra::VectorFactory<double, int, long long, Kokkos::Compat::KokkosDeviceWrapperNode<Kokkos::Serial, Kokkos::HostSpace> >::Build(Teuchos::RCP<Xpetra::Map<int, long long, Kokkos::Compat::KokkosDeviceWrapperNode<Kokkos::Serial, Kokkos::HostSpace> > const> const&, bool) in CrsMatrix_UnitTests.cpp.o
  "Xpetra::TpetraCrsGraph<int, long long, Kokkos::Compat::KokkosDeviceWrapperNode<Kokkos::Serial, Kokkos::HostSpace> >::TpetraCrsGraph(Teuchos::RCP<Tpetra::CrsGraph<int, long long, Kokkos::Compat::KokkosDeviceWrapperNode<Kokkos::Serial, Kokkos::HostSpace> > > const&)", referenced from:
      Xpetra::TpetraCrsMatrix<double, int, long long, Kokkos::Compat::KokkosDeviceWrapperNode<Kokkos::Serial, Kokkos::HostSpace> >::getCrsGraph() const in CrsMatrix_UnitTests.cpp.o
  "Xpetra::TpetraMultiVector<double, int, long long, Kokkos::Compat::KokkosDeviceWrapperNode<Kokkos::Serial, Kokkos::HostSpace> >::TpetraMultiVector(Teuchos::RCP<Xpetra::Map<int, long long, Kokkos::Compat::KokkosDeviceWrapperNode<Kokkos::Serial, Kokkos::HostSpace> > const> const&, unsigned long, bool)", referenced from:
      Xpetra::MultiVectorFactory<double, int, long long, Kokkos::Compat::KokkosDeviceWrapperNode<Kokkos::Serial, Kokkos::HostSpace> >::Build(Teuchos::RCP<Xpetra::Map<int, long long, Kokkos::Compat::KokkosDeviceWrapperNode<Kokkos::Serial, Kokkos::HostSpace> > const> const&, unsigned long, bool) in CrsMatrix_UnitTests.cpp.o
  "Xpetra::TpetraExport<int, long long, Kokkos::Compat::KokkosDeviceWrapperNode<Kokkos::Serial, Kokkos::HostSpace> >::getTpetra_Export() const", referenced from:
      Xpetra::TpetraCrsMatrix<double, int, long long, Kokkos::Compat::KokkosDeviceWrapperNode<Kokkos::Serial, Kokkos::HostSpace> >::doExport(Xpetra::DistObject<char, int, long long, Kokkos::Compat::KokkosDeviceWrapperNode<Kokkos::Serial, Kokkos::HostSpace> > const&, Xpetra::Export<int, long long, Kokkos::Compat::KokkosDeviceWrapperNode<Kokkos::Serial, Kokkos::HostSpace> > const&, Xpetra::CombineMode) in CrsMatrix_UnitTests.cpp.o
      Xpetra::TpetraCrsMatrix<double, int, long long, Kokkos::Compat::KokkosDeviceWrapperNode<Kokkos::Serial, Kokkos::HostSpace> >::doImport(Xpetra::DistObject<char, int, long long, Kokkos::Compat::KokkosDeviceWrapperNode<Kokkos::Serial, Kokkos::HostSpace> > const&, Xpetra::Export<int, long long, Kokkos::Compat::KokkosDeviceWrapperNode<Kokkos::Serial, Kokkos::HostSpace> > const&, Xpetra::CombineMode) in CrsMatrix_UnitTests.cpp.o
      Xpetra::TpetraCrsMatrix<double, int, long long, Kokkos::Compat::KokkosDeviceWrapperNode<Kokkos::Serial, Kokkos::HostSpace> >::expertStaticFillComplete(Teuchos::RCP<Xpetra::Map<int, long long, Kokkos::Compat::KokkosDeviceWrapperNode<Kokkos::Serial, Kokkos::HostSpace> > const> const&, Teuchos::RCP<Xpetra::Map<int, long long, Kokkos::Compat::KokkosDeviceWrapperNode<Kokkos::Serial, Kokkos::HostSpace> > const> const&, Teuchos::RCP<Xpetra::Import<int, long long, Kokkos::Compat::KokkosDeviceWrapperNode<Kokkos::Serial, Kokkos::HostSpace> > const> const&, Teuchos::RCP<Xpetra::Export<int, long long, Kokkos::Compat::KokkosDeviceWrapperNode<Kokkos::Serial, Kokkos::HostSpace> > const> const&, Teuchos::RCP<Teuchos::ParameterList> const&) in CrsMatrix_UnitTests.cpp.o
  "Xpetra::TpetraVector<double, int, long long, Kokkos::Compat::KokkosDeviceWrapperNode<Kokkos::Serial, Kokkos::HostSpace> >::getTpetra_Vector() const", referenced from:
      Xpetra::TpetraCrsMatrix<double, int, long long, Kokkos::Compat::KokkosDeviceWrapperNode<Kokkos::Serial, Kokkos::HostSpace> >::getLocalDiagCopy(Xpetra::Vector<double, int, long long, Kokkos::Compat::KokkosDeviceWrapperNode<Kokkos::Serial, Kokkos::HostSpace> >&) const in CrsMatrix_UnitTests.cpp.o
      Teuchos::RCP<Tpetra::Vector<double, int, long long, Kokkos::Compat::KokkosDeviceWrapperNode<Kokkos::Serial, Kokkos::HostSpace> > > Xpetra::toTpetra<double, int, long long, Kokkos::Compat::KokkosDeviceWrapperNode<Kokkos::Serial, Kokkos::HostSpace> >(Xpetra::Vector<double, int, long long, Kokkos::Compat::KokkosDeviceWrapperNode<Kokkos::Serial, Kokkos::HostSpace> >&) in CrsMatrix_UnitTests.cpp.o
      Teuchos::RCP<Tpetra::Vector<double, int, long long, Kokkos::Compat::KokkosDeviceWrapperNode<Kokkos::Serial, Kokkos::HostSpace> > > Xpetra::toTpetra<double, int, long long, Kokkos::Compat::KokkosDeviceWrapperNode<Kokkos::Serial, Kokkos::HostSpace> >(Xpetra::Vector<double, int, long long, Kokkos::Compat::KokkosDeviceWrapperNode<Kokkos::Serial, Kokkos::HostSpace> > const&) in CrsMatrix_UnitTests.cpp.o
  "Xpetra::TpetraMultiVector<double, int, long long, Kokkos::Compat::KokkosDeviceWrapperNode<Kokkos::Serial, Kokkos::HostSpace> >::getTpetra_MultiVector() const", referenced from:
      Tpetra::MultiVector<double, int, long long, Kokkos::Compat::KokkosDeviceWrapperNode<Kokkos::Serial, Kokkos::HostSpace> > const& Xpetra::toTpetra<double, int, long long, Kokkos::Compat::KokkosDeviceWrapperNode<Kokkos::Serial, Kokkos::HostSpace> >(Xpetra::MultiVector<double, int, long long, Kokkos::Compat::KokkosDeviceWrapperNode<Kokkos::Serial, Kokkos::HostSpace> > const&) in CrsMatrix_UnitTests.cpp.o
      Tpetra::MultiVector<double, int, long long, Kokkos::Compat::KokkosDeviceWrapperNode<Kokkos::Serial, Kokkos::HostSpace> >& Xpetra::toTpetra<double, int, long long, Kokkos::Compat::KokkosDeviceWrapperNode<Kokkos::Serial, Kokkos::HostSpace> >(Xpetra::MultiVector<double, int, long long, Kokkos::Compat::KokkosDeviceWrapperNode<Kokkos::Serial, Kokkos::HostSpace> >&) in CrsMatrix_UnitTests.cpp.o
ld: symbol(s) not found for architecture x86_64
collect2: error: ld returned 1 exit status
sahirbutt commented 4 years ago

Side note: I was successful building trilinos-12.10.1 with same configuration (with gcc-8). But following tests failed or passed suspiciously :

          Start   97: TeuchosComm_Time_test_MPI_1
  97/1573 Test   #97: TeuchosComm_Time_test_MPI_1 ........................................................***Failed  Required regular expression not found. Regex=[End Result: TEST PASSED
]  2.23 sec
          Start  510: TpetraCore_CrsMatrix_UnitTests_MPI_4
 510/1573 Test  #510: TpetraCore_CrsMatrix_UnitTests_MPI_4 ...............................................***Failed  Required regular expression not found. Regex=[End Result: TEST PASSED
]  2.34 sec
          Start  511: TpetraCore_CrsMatrix_UnitTests2_MPI_4
 511/1573 Test  #511: TpetraCore_CrsMatrix_UnitTests2_MPI_4 ..............................................***Failed  Required regular expression not found. Regex=[End Result: TEST PASSED
]  2.35 sec
          Start  512: TpetraCore_CrsMatrix_UnitTests3_MPI_4
 512/1573 Test  #512: TpetraCore_CrsMatrix_UnitTests3_MPI_4 ..............................................***Failed  Required regular expression not found. Regex=[End Result: TEST PASSED
]  2.35 sec
          Start  513: TpetraCore_CrsMatrix_UnitTests4_MPI_4
 513/1573 Test  #513: TpetraCore_CrsMatrix_UnitTests4_MPI_4 ..............................................***Failed  Required regular expression not found. Regex=[End Result: TEST PASSED
]  2.36 sec
         Start  516: TpetraCore_CrsMatrix_TriSolve_MPI_4
 516/1573 Test  #516: TpetraCore_CrsMatrix_TriSolve_MPI_4 ................................................***Failed  Required regular expression not found. Regex=[End Result: TEST PASSED
]  2.34 sec
         Start  519: TpetraCore_CrsMatrix_ReplaceDomainMapAndImporter_MPI_4
 519/1573 Test  #519: TpetraCore_CrsMatrix_ReplaceDomainMapAndImporter_MPI_4 .............................***Failed  Required regular expression not found. Regex=[End Result: TEST PASSED
]  2.35 sec
          Start  522: TpetraCore_CrsMatrix_gaussSeidel_MPI_4
 522/1573 Test  #522: TpetraCore_CrsMatrix_gaussSeidel_MPI_4 .............................................***Failed  Required regular expression not found. Regex=[End Result: TEST PASSED
]  2.35 sec
          Start 1108: Teko_testdriver_MPI_1
1108/1573 Test #1108: Teko_testdriver_MPI_1 ..............................................................***Failed  Error regular expression found in output. Regex=[Teko tests failed]  5.86 sec
          Start 1110: Teko_testdriver_tpetra_MPI_1
1110/1573 Test #1110: Teko_testdriver_tpetra_MPI_1 .......................................................***Failed  Error regular expression found in output. Regex=[Teko tests failed]  8.80 sec
          Start 1264: Phalanx_dag_manager_MPI_1
1264/1573 Test #1264: Phalanx_dag_manager_MPI_1 ..........................................................***Failed    2.30 sec
         Start 1573: PikeBlackBox_rxn_MPI_1
1573/1573 Test #1573: PikeBlackBox_rxn_MPI_1 .............................................................***Failed    2.24 sec
kddevin commented 4 years ago

Regarding the missing symbols at link time: I assume you are interested in using the packages you explicitly list, but you are getting many more packages in your build. You specify most of the Epetra stack (Epetra, Isorropia, Ifpack, MueLu, etc.) but you also turn on all optional packages, which enables the Tpetra stack (Tpetra, Ifpack2, Zoltan2). I recommend you build only what you need: turn off all optional packages and all downstream packages.

kddevin commented 4 years ago

Regarding the failing tests, can you show the output from the failing tests? It is in Testing/Temporary/LastTest.log

sahirbutt commented 4 years ago

@kddevin, the output for failing tests from LastTest.log: Thanks!

97/1573 Testing: TeuchosComm_Time_test_MPI_1
97/1573 Test: TeuchosComm_Time_test_MPI_1
Command: "/usr/local/bin/mpiexec" "-np" "1" "/Users/sahirbutt/sw/trilinos-12.10.1-Source/build/packages/teuchos/comm/test/Time/TeuchosComm_Time_test.exe" "-v"
Directory: /Users/sahirbutt/sw/trilinos-12.10.1-Source/build/packages/teuchos/comm/test/Time
"TeuchosComm_Time_test_MPI_1" start time: Jul 01 16:48 CEST
Output:
----------------------------------------------------------
Teuchos in Trilinos 12.10.1

Assertion failed: (libcompiler_rt abort), function __mulvsi3, file /AppleInternal/BuildRoot/Library/Caches/com.apple.xbs/Sources/Libcompiler_rt/Libcompiler_rt-101.2/lib/builtins/mulvsi3.c, line 47.
[Sahirs-MacBook-Pro:91530] *** Process received signal ***
[Sahirs-MacBook-Pro:91530] Signal: Abort trap: 6 (6)
[Sahirs-MacBook-Pro:91530] Signal code:  (0)
[Sahirs-MacBook-Pro:91530] [ 0] 0   libsystem_platform.dylib            0x00007fff67dbe5fd _sigtramp + 29
[Sahirs-MacBook-Pro:91530] [ 1] 0   ???                                 0x000000010d7b4d03 0x0 + 4521151747
[Sahirs-MacBook-Pro:91530] [ 2] 0   libsystem_c.dylib                   0x00007fff67c94808 abort + 120
[Sahirs-MacBook-Pro:91530] [ 3] 0   libsystem_c.dylib                   0x00007fff67c93ac6 err + 0
[Sahirs-MacBook-Pro:91530] [ 4] 0   libcompiler_rt.dylib                0x00007fff679bd428 __lshrdi3 + 0
[Sahirs-MacBook-Pro:91530] [ 5] 0   ???                                 0x00007f928f6128c0 0x0 + 140267447462080
[Sahirs-MacBook-Pro:91530] *** End of error message ***
--------------------------------------------------------------------------
Primary job  terminated normally, but 1 process returned
a non-zero exit code. Per user-direction, the job has been aborted.
--------------------------------------------------------------------------
--------------------------------------------------------------------------
mpiexec noticed that process rank 0 with PID 0 on node Sahirs-MacBook-Pro exited on signal 6 (Abort trap: 6).
--------------------------------------------------------------------------
<end of output>
Test time =   2.38 sec
----------------------------------------------------------
Test Fail Reason:
Required regular expression not found. Regex=[End Result: TEST PASSED
]
"TeuchosComm_Time_test_MPI_1" end time: Jul 01 16:48 CEST
"TeuchosComm_Time_test_MPI_1" time elapsed: 00:00:02
----------------------------------------------------------
510/1573 Testing: TpetraCore_CrsMatrix_UnitTests_MPI_4
510/1573 Test: TpetraCore_CrsMatrix_UnitTests_MPI_4
Command: "/usr/local/bin/mpiexec" "-np" "4" "/Users/sahirbutt/sw/trilinos-12.10.1-Source/build/packages/tpetra/core/test/CrsMatrix/TpetraCore_CrsMatrix_UnitTests.exe"
Directory: /Users/sahirbutt/sw/trilinos-12.10.1-Source/build/packages/tpetra/core/test/CrsMatrix
"TpetraCore_CrsMatrix_UnitTests_MPI_4" start time: Jul 01 16:52 CEST
Output:
----------------------------------------------------------
Teuchos::GlobalMPISession::GlobalMPISession(): started processor with name Sahirs-MacBook-Pro.local and rank 3!
Teuchos::GlobalMPISession::GlobalMPISession(): started processor with name Sahirs-MacBook-Pro.local and rank 1!
Teuchos::GlobalMPISession::GlobalMPISession(): started processor with name Sahirs-MacBook-Pro.local and rank 0!
Teuchos::GlobalMPISession::GlobalMPISession(): started processor with name Sahirs-MacBook-Pro.local and rank 2!

***
*** Unit test suite ...
***

Sorting tests by group name then by the order they were added ... (time = 1.2e-05)

Running unit tests ...

0. CrsMatrix_int_int_double_Kokkos_Compat_KokkosSerialWrapperNode_TheEyeOfTruth_UnitTest ... [Passed] (0.00134 sec)
1. CrsMatrix_int_int_double_Kokkos_Compat_KokkosSerialWrapperNode_ZeroMatrix_UnitTest ... [Passed] (0.00198 sec)
2. CrsMatrix_int_int_double_Kokkos_Compat_KokkosSerialWrapperNode_BadCalls_UnitTest ... [Passed] (0.00163 sec)
3. CrsMatrix_int_int_double_Kokkos_Compat_KokkosSerialWrapperNode_SimpleEigTest_UnitTest ... [Passed] (0.000283 sec)
Assertion failed: (libcompiler_rt abort), function __subvsi3, file /AppleInternal/BuildRoot/Library/Caches/com.apple.xbs/Sources/Libcompiler_rt/Libcompiler_rt-101.2/lib/builtins/subvsi3.c, line 32.
Assertion failed: (libcompiler_rt abort), function __subvsi3, file /AppleInternal/BuildRoot/Library/Caches/com.apple.xbs/Sources/Libcompiler_rt/Libcompiler_rt-101.2/lib/builtins/subvsi3.c, line 32.
Assertion failed: (libcompiler_rt abort), function __subvsi3, file /AppleInternal/BuildRoot/Library/Caches/com.apple.xbs/Sources/Libcompiler_rt/Libcompiler_rt-101.2/lib/builtins/subvsi3.c, line 32.
Assertion failed: (libcompiler_rt abort), function __subvsi3, file /AppleInternal/BuildRoot/Library/Caches/com.apple.xbs/Sources/Libcompiler_rt/Libcompiler_rt-101.2/lib/builtins/subvsi3.c, line 32.
4. CrsMatrix_int_int_int_Kokkos_Compat_KokkosSerialWrapperNode_TheEyeOfTruth_UnitTest ... [Sahirs-MacBook-Pro:94230] *** Process received signal ***
[Sahirs-MacBook-Pro:94230] Signal: Abort trap: 6 (6)
[Sahirs-MacBook-Pro:94230] Signal code:  (0)
[Sahirs-MacBook-Pro:94231] *** Process received signal ***
[Sahirs-MacBook-Pro:94231] Signal: Abort trap: 6 (6)
[Sahirs-MacBook-Pro:94231] Signal code:  (0)
[Sahirs-MacBook-Pro:94231] [ 0] [Sahirs-MacBook-Pro:94232] *** Process received signal ***
[Sahirs-MacBook-Pro:94232] Signal: Abort trap: 6 (6)
[Sahirs-MacBook-Pro:94232] Signal code:  (0)
[Sahirs-MacBook-Pro:94233] *** Process received signal ***
[Sahirs-MacBook-Pro:94233] Signal: Abort trap: 6 (6)
[Sahirs-MacBook-Pro:94233] Signal code:  (0)
[Sahirs-MacBook-Pro:94233] [ 0] [Sahirs-MacBook-Pro:94230] [ 0] 0   libsystem_platform.dylib            0x00007fff67dbe5fd _sigtramp + 29
[Sahirs-MacBook-Pro:94230] [ 1] 0   libsystem_platform.dylib            0x00007fff67dbe5fd _sigtramp + 29
[Sahirs-MacBook-Pro:94231] [ 1] [Sahirs-MacBook-Pro:94232] [ 0] 0   libsystem_platform.dylib            0x00007fff67dbe5fd _sigtramp + 29
[Sahirs-MacBook-Pro:94232] [ 1] 0   libsystem_platform.dylib            0x00007fff67dbe5fd _sigtramp + 29
[Sahirs-MacBook-Pro:94233] [ 1] 0   ???                                 0x0000000000000063 0x0 + 99
[Sahirs-MacBook-Pro:94232] [ 2] 0   libsystem_c.dylib                   0x00007fff67c94808 abort + 120
[Sahirs-MacBook-Pro:94232] [ 3] 0   libsystem_c.dylib                   0x00007fff67c93ac6 err + 0
[Sahirs-MacBook-Pro:94232] [ 4] 0   libcompiler_rt.dylib                0x00007fff679bd428 __lshrdi3 + 0
[Sahirs-MacBook-Pro:94232] *** End of error message ***
0   TpetraCore_CrsMatrix_UnitTests.exe  0x0000000100fd2158 _ZTIN7Teuchos7MpiCommIiEE + 0
[Sahirs-MacBook-Pro:94230] [ 2] 0   libsystem_c.dylib                   0x00007fff67c94808 abort + 120
[Sahirs-MacBook-Pro:94230] [ 3] 0   libsystem_c.dylib                   0x00007fff67c93ac6 err + 0
[Sahirs-MacBook-Pro:94230] [ 4] 0   TpetraCore_CrsMatrix_UnitTests.exe  0x000000010fef2158 _ZTIN7Teuchos7MpiCommIiEE + 0
[Sahirs-MacBook-Pro:94231] [ 2] 0   libsystem_c.dylib                   0x00007fff67c94808 abort + 120
[Sahirs-MacBook-Pro:94231] [ 3] 0   libsystem_c.dylib                   0x00007fff67c93ac6 err + 0
[Sahirs-MacBook-Pro:94231] [ 4] 0   libcompiler_rt.dylib                0x00007fff679bd428 __lshrdi3 + 0
[Sahirs-MacBook-Pro:94230] *** End of error message ***
0   libcompiler_rt.dylib                0x00007fff679bd428 __lshrdi3 + 0
[Sahirs-MacBook-Pro:94231] *** End of error message ***
0   TpetraCore_CrsMatrix_UnitTests.exe  0x0000000102ced158 _ZTIN7Teuchos7MpiCommIiEE + 0
[Sahirs-MacBook-Pro:94233] [ 2] 0   libsystem_c.dylib                   0x00007fff67c94808 abort + 120
[Sahirs-MacBook-Pro:94233] [ 3] 0   libsystem_c.dylib                   0x00007fff67c93ac6 err + 0
[Sahirs-MacBook-Pro:94233] [ 4] 0   libcompiler_rt.dylib                0x00007fff679bd428 __lshrdi3 + 0
[Sahirs-MacBook-Pro:94233] *** End of error message ***
--------------------------------------------------------------------------
Primary job  terminated normally, but 1 process returned
a non-zero exit code. Per user-direction, the job has been aborted.
--------------------------------------------------------------------------
--------------------------------------------------------------------------
mpiexec noticed that process rank 3 with PID 0 on node Sahirs-MacBook-Pro exited on signal 6 (Abort trap: 6).
--------------------------------------------------------------------------
<end of output>
Test time =   2.48 sec
----------------------------------------------------------
Test Fail Reason:
Required regular expression not found. Regex=[End Result: TEST PASSED
]
"TpetraCore_CrsMatrix_UnitTests_MPI_4" end time: Jul 01 16:52 CEST
"TpetraCore_CrsMatrix_UnitTests_MPI_4" time elapsed: 00:00:02
----------------------------------------------------------
511/1573 Testing: TpetraCore_CrsMatrix_UnitTests2_MPI_4
511/1573 Test: TpetraCore_CrsMatrix_UnitTests2_MPI_4
Command: "/usr/local/bin/mpiexec" "-np" "4" "/Users/sahirbutt/sw/trilinos-12.10.1-Source/build/packages/tpetra/core/test/CrsMatrix/TpetraCore_CrsMatrix_UnitTests2.exe" "--filedir=/Users/sahirbutt/sw/trilinos-12.10.1-Source/build/packages/tpetra/core/test/CrsMatrix/"
Directory: /Users/sahirbutt/sw/trilinos-12.10.1-Source/build/packages/tpetra/core/test/CrsMatrix
"TpetraCore_CrsMatrix_UnitTests2_MPI_4" start time: Jul 01 16:52 CEST
Output:
----------------------------------------------------------
Teuchos::GlobalMPISession::GlobalMPISession(): started processor with name Sahirs-MacBook-Pro.local and rank 2!
Teuchos::GlobalMPISession::GlobalMPISession(): started processor with name Sahirs-MacBook-Pro.local and rank 1!
Teuchos::GlobalMPISession::GlobalMPISession(): started processor with name Sahirs-MacBook-Pro.local and rank 3!
Teuchos::GlobalMPISession::GlobalMPISession(): started processor with name Sahirs-MacBook-Pro.local and rank 0!

***
*** Unit test suite ...
***

Sorting tests by group name then by the order they were added ... (time = 1.8e-05)

Running unit tests ...

0. CrsMatrix_int_int_double_Kokkos_Compat_KokkosSerialWrapperNode_BadGID_UnitTest ... [Passed] (0.005 sec)
1. CrsMatrix_int_int_double_Kokkos_Compat_KokkosSerialWrapperNode_FullMatrixTriDiag_UnitTest ... [Passed] (0.00124 sec)
2. CrsMatrix_int_int_double_Kokkos_Compat_KokkosSerialWrapperNode_DomainRange_UnitTest ... [Passed] (0.000439 sec)
3. CrsMatrix_int_int_double_Kokkos_Compat_KokkosSerialWrapperNode_NonSquare_UnitTest ... [Passed] (0.000138 sec)
4. CrsMatrix_int_int_int_Kokkos_Compat_KokkosSerialWrapperNode_BadGID_UnitTest ... [Passed] (0.00103 sec)
5. CrsMatrix_int_int_int_Kokkos_Compat_KokkosSerialWrapperNode_FullMatrixTriDiag_UnitTest ... [Passed] (0.00064 sec)
Assertion failed: (libcompiler_rt abort), function __subvsi3, file /AppleInternal/BuildRoot/Library/Caches/com.apple.xbs/Sources/Libcompiler_rt/Libcompiler_rt-101.2/lib/builtins/subvsi3.c, line 32.
Assertion failed: (libcompiler_rt abort), function __subvsi3, file /AppleInternal/BuildRoot/Library/Caches/com.apple.xbs/Sources/Libcompiler_rt/Libcompiler_rt-101.2/lib/builtins/subvsi3.c, line 32.
Assertion failed: (libcompiler_rt abort), function __subvsi3, file /AppleInternal/BuildRoot/Library/Caches/com.apple.xbs/Sources/Libcompiler_rt/Libcompiler_rt-101.2/lib/builtins/subvsi3.c, line 32.
Assertion failed: (libcompiler_rt abort), function __subvsi3, file /AppleInternal/BuildRoot/Library/Caches/com.apple.xbs/Sources/Libcompiler_rt/Libcompiler_rt-101.2/lib/builtins/subvsi3.c, line 32.
6. CrsMatrix_int_int_int_Kokkos_Compat_KokkosSerialWrapperNode_DomainRange_UnitTest ... [Sahirs-MacBook-Pro:94235] *** Process received signal ***
[Sahirs-MacBook-Pro:94235] Signal: Abort trap: 6 (6)
[Sahirs-MacBook-Pro:94235] Signal code:  (0)
[Sahirs-MacBook-Pro:94235] [ 0] [Sahirs-MacBook-Pro:94236] *** Process received signal ***
[Sahirs-MacBook-Pro:94236] Signal: Abort trap: 6 (6)
[Sahirs-MacBook-Pro:94236] Signal code:  (0)
[Sahirs-MacBook-Pro:94236] [ 0] [Sahirs-MacBook-Pro:94237] *** Process received signal ***
[Sahirs-MacBook-Pro:94237] Signal: Abort trap: 6 (6)
[Sahirs-MacBook-Pro:94237] Signal code:  (0)
[Sahirs-MacBook-Pro:94237] [ 0] [Sahirs-MacBook-Pro:94238] *** Process received signal ***
[Sahirs-MacBook-Pro:94238] Signal: Abort trap: 6 (6)
[Sahirs-MacBook-Pro:94238] Signal code:  (0)
[Sahirs-MacBook-Pro:94238] [ 0] 0   libsystem_platform.dylib            0x00007fff67dbe5fd _sigtramp + 29
[Sahirs-MacBook-Pro:94237] [ 1] 0   ???                                 0x0000000000000000 0x0 + 0
[Sahirs-MacBook-Pro:94237] [ 2] 0   libsystem_platform.dylib            0x00007fff67dbe5fd _sigtramp + 29
[Sahirs-MacBook-Pro:94238] [ 1] 0   ???                                 0x0000000000000000 0x0 + 0
[Sahirs-MacBook-Pro:94238] [ 2] 0   libsystem_platform.dylib            0x00007fff67dbe5fd _sigtramp + 29
[Sahirs-MacBook-Pro:94235] [ 1] 0   libsystem_malloc.dylib              0x00007fff67d7e297 tiny_malloc_should_clear + 288
[Sahirs-MacBook-Pro:94235] [ 2] 0   libsystem_c.dylib                   0x00007fff67c94808 abort + 120
[Sahirs-MacBook-Pro:94235] [ 3] 0   libsystem_c.dylib                   0x00007fff67c93ac6 err + 0
[Sahirs-MacBook-Pro:94235] [ 4] 0   libcompiler_rt.dylib                0x00007fff679bd428 __lshrdi3 + 0
[Sahirs-MacBook-Pro:94235] *** End of error message ***
0   libsystem_platform.dylib            0x00007fff67dbe5fd _sigtramp + 29
[Sahirs-MacBook-Pro:94236] [ 1] 0   ???                                 0x0000000000000000 0x0 + 0
[Sahirs-MacBook-Pro:94236] [ 2] 0   libsystem_c.dylib                   0x00007fff67c94808 abort + 120
[Sahirs-MacBook-Pro:94236] [ 3] 0   libsystem_c.dylib                   0x00007fff67c93ac6 err + 0
[Sahirs-MacBook-Pro:94236] [ 4] 0   libcompiler_rt.dylib                0x00007fff679bd428 __lshrdi3 + 0
[Sahirs-MacBook-Pro:94236] *** End of error message ***
0   libsystem_c.dylib                   0x00007fff67c94808 abort + 120
[Sahirs-MacBook-Pro:94238] [ 3] 0   libsystem_c.dylib                   0x00007fff67c93ac6 err + 0
[Sahirs-MacBook-Pro:94238] [ 4] 0   libcompiler_rt.dylib                0x00007fff679bd428 __lshrdi3 + 0
[Sahirs-MacBook-Pro:94238] *** End of error message ***
0   libsystem_c.dylib                   0x00007fff67c94808 abort + 120
[Sahirs-MacBook-Pro:94237] [ 3] 0   libsystem_c.dylib                   0x00007fff67c93ac6 err + 0
[Sahirs-MacBook-Pro:94237] [ 4] 0   libcompiler_rt.dylib                0x00007fff679bd428 __lshrdi3 + 0
[Sahirs-MacBook-Pro:94237] *** End of error message ***
--------------------------------------------------------------------------
Primary job  terminated normally, but 1 process returned
a non-zero exit code. Per user-direction, the job has been aborted.
--------------------------------------------------------------------------
--------------------------------------------------------------------------
mpiexec noticed that process rank 1 with PID 0 on node Sahirs-MacBook-Pro exited on signal 6 (Abort trap: 6).
--------------------------------------------------------------------------
<end of output>
Test time =   2.48 sec
----------------------------------------------------------
Test Fail Reason:
Required regular expression not found. Regex=[End Result: TEST PASSED
]
"TpetraCore_CrsMatrix_UnitTests2_MPI_4" end time: Jul 01 16:52 CEST
"TpetraCore_CrsMatrix_UnitTests2_MPI_4" time elapsed: 00:00:02
----------------------------------------------------------

512/1573 Testing: TpetraCore_CrsMatrix_UnitTests3_MPI_4
512/1573 Test: TpetraCore_CrsMatrix_UnitTests3_MPI_4
Command: "/usr/local/bin/mpiexec" "-np" "4" "/Users/sahirbutt/sw/trilinos-12.10.1-Source/build/packages/tpetra/core/test/CrsMatrix/TpetraCore_CrsMatrix_UnitTests3.exe" "--filedir=/Users/sahirbutt/sw/trilinos-12.10.1-Source/build/packages/tpetra/core/test/CrsMatrix/"
Directory: /Users/sahirbutt/sw/trilinos-12.10.1-Source/build/packages/tpetra/core/test/CrsMatrix
"TpetraCore_CrsMatrix_UnitTests3_MPI_4" start time: Jul 01 16:52 CEST
Output:
----------------------------------------------------------
Teuchos::GlobalMPISession::GlobalMPISession(): started processor with name Sahirs-MacBook-Pro.local and rank 1!
Teuchos::GlobalMPISession::GlobalMPISession(): started processor with name Sahirs-MacBook-Pro.local and rank 3!
Teuchos::GlobalMPISession::GlobalMPISession(): started processor with name Sahirs-MacBook-Pro.local and rank 0!
Teuchos::GlobalMPISession::GlobalMPISession(): started processor with name Sahirs-MacBook-Pro.local and rank 2!

***
*** Unit test suite ...
***

Sorting tests by group name then by the order they were added ... (time = 1.3e-05)

Running unit tests ...

0. CrsMatrix_int_int_double_Kokkos_Compat_KokkosSerialWrapperNode_Transpose_UnitTest ... [Passed] (0.00213 sec)
1. CrsMatrix_int_int_double_Kokkos_Compat_KokkosSerialWrapperNode_EmptyFillComplete_UnitTest ... [Passed] (0.00281 sec)
2. CrsMatrix_int_int_double_Kokkos_Compat_KokkosSerialWrapperNode_CopiesAndViews_UnitTest ... [Passed] (0.00214 sec)
Assertion failed: (libcompiler_rt abort), function __subvsi3, file /AppleInternal/BuildRoot/Library/Caches/com.apple.xbs/Sources/Libcompiler_rt/Libcompiler_rt-101.2/lib/builtins/subvsi3.c, line 32.
Assertion failed: (libcompiler_rt abort), function __subvsi3, file /AppleInternal/BuildRoot/Library/Caches/com.apple.xbs/Sources/Libcompiler_rt/Libcompiler_rt-101.2/lib/builtins/subvsi3.c, line 32.
Assertion failed: (libcompiler_rt abort), function __subvsi3, file /AppleInternal/BuildRoot/Library/Caches/com.apple.xbs/Sources/Libcompiler_rt/Libcompiler_rt-101.2/lib/builtins/subvsi3.c, line 32.
Assertion failed: (libcompiler_rt abort), function __subvsi3, file /AppleInternal/BuildRoot/Library/Caches/com.apple.xbs/Sources/Libcompiler_rt/Libcompiler_rt-101.2/lib/builtins/subvsi3.c, line 32.
3. CrsMatrix_int_int_int_Kokkos_Compat_KokkosSerialWrapperNode_Transpose_UnitTest ... [Sahirs-MacBook-Pro:94240] *** Process received signal ***
[Sahirs-MacBook-Pro:94240] Signal: Abort trap: 6 (6)
[Sahirs-MacBook-Pro:94240] Signal code:  (0)
[Sahirs-MacBook-Pro:94241] *** Process received signal ***
[Sahirs-MacBook-Pro:94242] *** Process received signal ***
[Sahirs-MacBook-Pro:94242] Signal: Abort trap: 6 (6)
[Sahirs-MacBook-Pro:94242] Signal code:  (0)
[Sahirs-MacBook-Pro:94242] [ 0] [Sahirs-MacBook-Pro:94243] *** Process received signal ***
[Sahirs-MacBook-Pro:94243] Signal: Abort trap: 6 (6)
[Sahirs-MacBook-Pro:94243] Signal code:  (0)
[Sahirs-MacBook-Pro:94240] [ 0] [Sahirs-MacBook-Pro:94241] Signal: Abort trap: 6 (6)
[Sahirs-MacBook-Pro:94241] Signal code:  (0)
0   libsystem_platform.dylib            0x00007fff67dbe5fd _sigtramp + 29
[Sahirs-MacBook-Pro:94242] [ 1] 0   ???                                 0x0000000000000050 0x0 + 80
[Sahirs-MacBook-Pro:94242] [ 2] [Sahirs-MacBook-Pro:94243] [ 0] 0   libsystem_platform.dylib            0x00007fff67dbe5fd _sigtramp + 29
[Sahirs-MacBook-Pro:94243] [ 1] 0   ???                                 0x0000000000000050 0x0 + 80
[Sahirs-MacBook-Pro:94243] 0   libsystem_platform.dylib            0x00007fff67dbe5fd _sigtramp + 29
[Sahirs-MacBook-Pro:94240] [ 1] 0   ???                                 0x0000000000000000 0x0 + 0
[Sahirs-MacBook-Pro:94240] [ 2] 0   libsystem_c.dylib                   0x00007fff67c94808 abort + 120
[Sahirs-MacBook-Pro:94240] [ 3] [Sahirs-MacBook-Pro:94241] [ 0] 0   libsystem_platform.dylib            0x00007fff67dbe5fd _sigtramp + 29
[Sahirs-MacBook-Pro:94241] [ 1] 0   ???                                 0x0000000000000000 0x0 + 0
[Sahirs-MacBook-Pro:94241] [ 2] 0   libsystem_c.dylib                   0x00007fff67c94808 abort + 120
[Sahirs-MacBook-Pro:94242] [ 3] 0   libsystem_c.dylib                   0x00007fff67c93ac6 err + 0
[Sahirs-MacBook-Pro:94242] [ 4] 0   libcompiler_rt.dylib                0x00007fff679bd428 __lshrdi3 + 0
[Sahirs-MacBook-Pro:94242] *** End of error message ***
0   libsystem_c.dylib                   0x00007fff67c93ac6 err + 0
[Sahirs-MacBook-Pro:94240] [ 4] 0   libcompiler_rt.dylib                0x00007fff679bd428 __lshrdi3 + 0
[Sahirs-MacBook-Pro:94240] *** End of error message ***
[ 2] 0   libsystem_c.dylib                   0x00007fff67c94808 abort + 120
[Sahirs-MacBook-Pro:94243] [ 3] 0   libsystem_c.dylib                   0x00007fff67c93ac6 err + 0
[Sahirs-MacBook-Pro:94243] [ 4] 0   libcompiler_rt.dylib                0x00007fff679bd428 __lshrdi3 + 0
[Sahirs-MacBook-Pro:94243] *** End of error message ***
0   libsystem_c.dylib                   0x00007fff67c94808 abort + 120
[Sahirs-MacBook-Pro:94241] [ 3] 0   libsystem_c.dylib                   0x00007fff67c93ac6 err + 0
[Sahirs-MacBook-Pro:94241] [ 4] 0   libcompiler_rt.dylib                0x00007fff679bd428 __lshrdi3 + 0
[Sahirs-MacBook-Pro:94241] *** End of error message ***
--------------------------------------------------------------------------
Primary job  terminated normally, but 1 process returned
a non-zero exit code. Per user-direction, the job has been aborted.
--------------------------------------------------------------------------
--------------------------------------------------------------------------
mpiexec noticed that process rank 3 with PID 0 on node Sahirs-MacBook-Pro exited on signal 6 (Abort trap: 6).
--------------------------------------------------------------------------
<end of output>
Test time =   2.50 sec
----------------------------------------------------------
Test Fail Reason:
Required regular expression not found. Regex=[End Result: TEST PASSED
]
"TpetraCore_CrsMatrix_UnitTests3_MPI_4" end time: Jul 01 16:52 CEST
"TpetraCore_CrsMatrix_UnitTests3_MPI_4" time elapsed: 00:00:02
----------------------------------------------------------

513/1573 Testing: TpetraCore_CrsMatrix_UnitTests4_MPI_4
513/1573 Test: TpetraCore_CrsMatrix_UnitTests4_MPI_4
Command: "/usr/local/bin/mpiexec" "-np" "4" "/Users/sahirbutt/sw/trilinos-12.10.1-Source/build/packages/tpetra/core/test/CrsMatrix/TpetraCore_CrsMatrix_UnitTests4.exe" "--filedir=/Users/sahirbutt/sw/trilinos-12.10.1-Source/build/packages/tpetra/core/test/CrsMatrix/"
Directory: /Users/sahirbutt/sw/trilinos-12.10.1-Source/build/packages/tpetra/core/test/CrsMatrix
"TpetraCore_CrsMatrix_UnitTests4_MPI_4" start time: Jul 01 16:52 CEST
Output:
----------------------------------------------------------
Teuchos::GlobalMPISession::GlobalMPISession(): started processor with name Sahirs-MacBook-Pro.local and rank 3!
Teuchos::GlobalMPISession::GlobalMPISession(): started processor with name Sahirs-MacBook-Pro.local and rank 0!
Teuchos::GlobalMPISession::GlobalMPISession(): started processor with name Sahirs-MacBook-Pro.local and rank 2!
Teuchos::GlobalMPISession::GlobalMPISession(): started processor with name Sahirs-MacBook-Pro.local and rank 1!

***
*** Unit test suite ...
***

Sorting tests by group name then by the order they were added ... (time = 1.7e-05)

Running unit tests ...

0. CrsMatrix_int_int_double_Kokkos_Compat_KokkosSerialWrapperNode_AlphaBetaMultiply_UnitTest ... [Passed] (0.00133 sec)
1. CrsMatrix_int_int_double_Kokkos_Compat_KokkosSerialWrapperNode_ActiveFill_UnitTest ... [Passed] (0.00278 sec)
2. CrsMatrix_int_int_double_Kokkos_Compat_KokkosSerialWrapperNode_Typedefs_UnitTest ... [Passed] (1.5e-05 sec)
3. CrsMatrix_int_int_double_Kokkos_Compat_KokkosSerialWrapperNode_ThreeArraysESFC_UnitTest ... [Passed] (0.000223 sec)
4. CrsMatrix_int_int_double_Kokkos_Compat_KokkosSerialWrapperNode_SetAllValues_UnitTest ... [Passed] (0.000136 sec)
5. CrsMatrix_int_int_double_Kokkos_Compat_KokkosSerialWrapperNode_GraphOwnedByFirstMatrixSharedBySecond_UnitTest ... [Passed] (6.8e-05 sec)
Assertion failed: (libcompiler_rt abort), function __subvsi3, file /AppleInternal/BuildRoot/Library/Caches/com.apple.xbs/Sources/Libcompiler_rt/Libcompiler_rt-101.2/lib/builtins/subvsi3.c, line 32.
Assertion failed: (libcompiler_rt abort), function __subvsi3, file /AppleInternal/BuildRoot/Library/Caches/com.apple.xbs/Sources/Libcompiler_rt/Libcompiler_rt-101.2/lib/builtins/subvsi3.c, line 32.
Assertion failed: (libcompiler_rt abort), function __subvsi3, file /AppleInternal/BuildRoot/Library/Caches/com.apple.xbs/Sources/Libcompiler_rt/Libcompiler_rt-101.2/lib/builtins/subvsi3.c, line 32.
Assertion failed: (libcompiler_rt abort), function __subvsi3, file /AppleInternal/BuildRoot/Library/Caches/com.apple.xbs/Sources/Libcompiler_rt/Libcompiler_rt-101.2/lib/builtins/subvsi3.c, line 32.
6. CrsMatrix_int_int_int_Kokkos_Compat_KokkosSerialWrapperNode_AlphaBetaMultiply_UnitTest ... [Sahirs-MacBook-Pro:94246] *** Process received signal ***
[Sahirs-MacBook-Pro:94246] Signal: Abort trap: 6 (6)
[Sahirs-MacBook-Pro:94246] Signal code:  (0)
[Sahirs-MacBook-Pro:94247] *** Process received signal ***
[Sahirs-MacBook-Pro:94247] Signal: Abort trap: 6 (6)
[Sahirs-MacBook-Pro:94247] Signal code:  (0)
[Sahirs-MacBook-Pro:94248] *** Process received signal ***
[Sahirs-MacBook-Pro:94248] Signal: Abort trap: 6 (6)
[Sahirs-MacBook-Pro:94248] Signal code:  (0)
[Sahirs-MacBook-Pro:94248] [ 0] 0   libsystem_platform.dylib            0x00007fff67dbe5fd _sigtramp + 29
[Sahirs-MacBook-Pro:94248] [ 1] [Sahirs-MacBook-Pro:94245] *** Process received signal ***
[Sahirs-MacBook-Pro:94245] Signal: Abort trap: 6 (6)
[Sahirs-MacBook-Pro:94245] Signal code:  (0)
[Sahirs-MacBook-Pro:94246] [ 0] 0   libsystem_platform.dylib            0x00007fff67dbe5fd _sigtramp + 29
[Sahirs-MacBook-Pro:94246] [ 1] [Sahirs-MacBook-Pro:94247] [ 0] 0   libsystem_platform.dylib            0x00007fff67dbe5fd _sigtramp + 29
[Sahirs-MacBook-Pro:94247] [ 1] [Sahirs-MacBook-Pro:94245] [ 0] 0   libsystem_platform.dylib            0x00007fff67dbe5fd _sigtramp + 29
[Sahirs-MacBook-Pro:94245] [ 1] 0   TpetraCore_CrsMatrix_UnitTests4.exe 0x000000010d043df8 _ZNSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEC1EPKcRKS3_.isra.782 + 40
[Sahirs-MacBook-Pro:94248] [ 2] 0   libsystem_c.dylib                   0x00007fff67c94808 abort + 120
[Sahirs-MacBook-Pro:94248] [ 3] 0   libsystem_c.dylib                   0x00007fff67c93ac6 err + 0
0   TpetraCore_CrsMatrix_UnitTests4.exe 0x000000010e00adf8 _ZNSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEC1EPKcRKS3_.isra.782 + 40
[Sahirs-MacBook-Pro:94247] [ 2] [Sahirs-MacBook-Pro:94248] [ 4] 0   libcompiler_rt.dylib                0x00007fff679bd428 __lshrdi3 + 0
[Sahirs-MacBook-Pro:94248] *** End of error message ***
0   TpetraCore_CrsMatrix_UnitTests4.exe 0x000000010d1f8df8 _ZNSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEC1EPKcRKS3_.isra.782 + 40
[Sahirs-MacBook-Pro:94246] [ 2] 0   libsystem_c.dylib                   0x00007fff67c94808 abort + 120
[Sahirs-MacBook-Pro:94246] [ 3] 0   libsystem_c.dylib                   0x00007fff67c94808 abort + 120
[Sahirs-MacBook-Pro:94247] [ 3] 0   libsystem_c.dylib                   0x00007fff67c93ac6 err + 0
[Sahirs-MacBook-Pro:94247] [ 4] 0   libcompiler_rt.dylib                0x00007fff679bd428 __lshrdi3 + 0
0   libsystem_c.dylib                   0x00007fff67c93ac6 err + 0
[Sahirs-MacBook-Pro:94246] [ 4] 0   libcompiler_rt.dylib                0x00007fff679bd428 __lshrdi3 + 0
[Sahirs-MacBook-Pro:94246] *** End of error message ***
[Sahirs-MacBook-Pro:94247] *** End of error message ***
0   TpetraCore_CrsMatrix_UnitTests4.exe 0x0000000102f15df8 _ZNSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEC1EPKcRKS3_.isra.782 + 40
[Sahirs-MacBook-Pro:94245] [ 2] 0   libsystem_c.dylib                   0x00007fff67c94808 abort + 120
[Sahirs-MacBook-Pro:94245] [ 3] 0   libsystem_c.dylib                   0x00007fff67c93ac6 err + 0
[Sahirs-MacBook-Pro:94245] [ 4] 0   libcompiler_rt.dylib                0x00007fff679bd428 __lshrdi3 + 0
[Sahirs-MacBook-Pro:94245] *** End of error message ***
--------------------------------------------------------------------------
Primary job  terminated normally, but 1 process returned
a non-zero exit code. Per user-direction, the job has been aborted.
--------------------------------------------------------------------------
--------------------------------------------------------------------------
mpiexec noticed that process rank 3 with PID 0 on node Sahirs-MacBook-Pro exited on signal 6 (Abort trap: 6).
--------------------------------------------------------------------------
<end of output>
Test time =   2.49 sec
----------------------------------------------------------
Test Fail Reason:
Required regular expression not found. Regex=[End Result: TEST PASSED
]
"TpetraCore_CrsMatrix_UnitTests4_MPI_4" end time: Jul 01 16:52 CEST
"TpetraCore_CrsMatrix_UnitTests4_MPI_4" time elapsed: 00:00:02
----------------------------------------------------------

516/1573 Testing: TpetraCore_CrsMatrix_TriSolve_MPI_4
516/1573 Test: TpetraCore_CrsMatrix_TriSolve_MPI_4
Command: "/usr/local/bin/mpiexec" "-np" "4" "/Users/sahirbutt/sw/trilinos-12.10.1-Source/build/packages/tpetra/core/test/CrsMatrix/TpetraCore_CrsMatrix_TriSolve.exe"
Directory: /Users/sahirbutt/sw/trilinos-12.10.1-Source/build/packages/tpetra/core/test/CrsMatrix
"TpetraCore_CrsMatrix_TriSolve_MPI_4" start time: Jul 01 16:52 CEST
Output:
----------------------------------------------------------
Teuchos::GlobalMPISession::GlobalMPISession(): started processor with name Sahirs-MacBook-Pro.local and rank 0!
Teuchos::GlobalMPISession::GlobalMPISession(): started processor with name Sahirs-MacBook-Pro.local and rank 1!
Teuchos::GlobalMPISession::GlobalMPISession(): started processor with name Sahirs-MacBook-Pro.local and rank 2!
Teuchos::GlobalMPISession::GlobalMPISession(): started processor with name Sahirs-MacBook-Pro.local and rank 3!

***
*** Unit test suite ...
***

Sorting tests by group name then by the order they were added ... (time = 1.1e-05)

Running unit tests ...

0. CrsMatrix_int_int_double_Kokkos_Compat_KokkosSerialWrapperNode_EmptyTriSolve_UnitTest ... [Passed] (0.00114 sec)
1. CrsMatrix_int_int_double_Kokkos_Compat_KokkosSerialWrapperNode_TriSolve_UnitTest ... [Passed] (0.00292 sec)
Assertion failed: (libcompiler_rt abort), function __subvsi3, file /AppleInternal/BuildRoot/Library/Caches/com.apple.xbs/Sources/Libcompiler_rt/Libcompiler_rt-101.2/lib/builtins/subvsi3.c, line 32.
Assertion failed: (libcompiler_rt abort), function __subvsi3, file /AppleInternal/BuildRoot/Library/Caches/com.apple.xbs/Sources/Libcompiler_rt/Libcompiler_rt-101.2/lib/builtins/subvsi3.c, line 32.
Assertion failed: (libcompiler_rt abort), function __subvsi3, file /AppleInternal/BuildRoot/Library/Caches/com.apple.xbs/Sources/Libcompiler_rt/Libcompiler_rt-101.2/lib/builtins/subvsi3.c, line 32.
Assertion failed: (libcompiler_rt abort), function __subvsi3, file /AppleInternal/BuildRoot/Library/Caches/com.apple.xbs/Sources/Libcompiler_rt/Libcompiler_rt-101.2/lib/builtins/subvsi3.c, line 32.
2. CrsMatrix_int_int_int_Kokkos_Compat_KokkosSerialWrapperNode_EmptyTriSolve_UnitTest ... [Sahirs-MacBook-Pro:94264] *** Process received signal ***
[Sahirs-MacBook-Pro:94264] Signal: Abort trap: 6 (6)
[Sahirs-MacBook-Pro:94264] Signal code:  (0)
[Sahirs-MacBook-Pro:94264] [Sahirs-MacBook-Pro:94261] *** Process received signal ***
[Sahirs-MacBook-Pro:94261] Signal: Abort trap: 6 (6)
[Sahirs-MacBook-Pro:94261] Signal code:  (0)
[Sahirs-MacBook-Pro:94261] [ 0] 0   libsystem_platform.dylib            0x00007fff67dbe5fd _sigtramp + 29
[Sahirs-MacBook-Pro:94261] [ 1] [Sahirs-MacBook-Pro:94262] *** Process received signal ***
[Sahirs-MacBook-Pro:94262] Signal: Abort trap: 6 (6)
[Sahirs-MacBook-Pro:94262] Signal code:  (0)
[Sahirs-MacBook-Pro:94262] [ 0] 0   libsystem_platform.dylib            0x00007fff67dbe5fd _sigtramp + 29
[Sahirs-MacBook-Pro:94262] [ 1] [Sahirs-MacBook-Pro:94263] *** Process received signal ***
[Sahirs-MacBook-Pro:94263] Signal: Abort trap: 6 (6)
[Sahirs-MacBook-Pro:94263] Signal code:  (0)
[Sahirs-MacBook-Pro:94263] [ 0] 0   libsystem_platform.dylib            0x00007fff67dbe5fd _sigtramp + 29
[Sahirs-MacBook-Pro:94263] [ 0] 0   libsystem_platform.dylib            0x00007fff67dbe5fd _sigtramp + 29
[Sahirs-MacBook-Pro:94264] [ 1] [ 1] 0   ???                                 0x00007ffee5a2a5f8 0x0 + 140732751062520
[Sahirs-MacBook-Pro:94263] [ 2] 0   libsystem_c.dylib                   0x00007fff67c94808 abort + 120
[Sahirs-MacBook-Pro:94263] [ 3] 0   libsystem_c.dylib                   0x00007fff67c93ac6 err + 0
[Sahirs-MacBook-Pro:94263] [ 4] 0   libcompiler_rt.dylib                0x00007fff679bd428 __lshrdi3 + 0
[Sahirs-MacBook-Pro:94263] *** End of error message ***
0   TpetraCore_CrsMatrix_TriSolve.exe   0x0000000101d5567c _ZN12KokkosSparse4Impl10Sequential4TrsvINS_9CrsMatrixIKdiN6Kokkos6DeviceINS5_6SerialENS5_9HostSpaceEEEvmEENS5_4ViewIPPdJNS5_10LayoutLeftES7_vEEESF_E4trsvEPKcSI_SI_RKSA_SF_SF_ + 860
[Sahirs-MacBook-Pro:94261] [ 2] 0   libsystem_c.dylib                   0x00007fff67c94808 abort + 120
[Sahirs-MacBook-Pro:94261] [ 3] 0   libsystem_c.dylib                   0x00007fff67c93ac6 err + 0
[Sahirs-MacBook-Pro:94261] [ 4] 0   libcompiler_rt.dylib                0x00007fff679bd428 __lshrdi3 + 0
[Sahirs-MacBook-Pro:94261] *** End of error message ***
0   TpetraCore_CrsMatrix_TriSolve.exe   0x00000001079c167c _ZN12KokkosSparse4Impl10Sequential4TrsvINS_9CrsMatrixIKdiN6Kokkos6DeviceINS5_6SerialENS5_9HostSpaceEEEvmEENS5_4ViewIPPdJNS5_10LayoutLeftES7_vEEESF_E4trsvEPKcSI_SI_RKSA_SF_SF_ + 860
[Sahirs-MacBook-Pro:94262] [ 2] 0   libsystem_c.dylib                   0x00007fff67c94808 abort + 120
[Sahirs-MacBook-Pro:94262] [ 3] 0   TpetraCore_CrsMatrix_TriSolve.exe   0x0000000105cbd67c _ZN12KokkosSparse4Impl10Sequential4TrsvINS_9CrsMatrixIKdiN6Kokkos6DeviceINS5_6SerialENS5_9HostSpaceEEEvmEENS5_4ViewIPPdJNS5_10LayoutLeftES7_vEEESF_E4trsvEPKcSI_SI_RKSA_SF_SF_ + 860
[Sahirs-MacBook-Pro:94264] [ 2] 0   libsystem_c.dylib                   0x00007fff67c93ac6 err + 0
[Sahirs-MacBook-Pro:94262] [ 4] 0   libcompiler_rt.dylib                0x00007fff679bd428 __lshrdi3 + 0
[Sahirs-MacBook-Pro:94262] *** End of error message ***
0   libsystem_c.dylib                   0x00007fff67c94808 abort + 120
[Sahirs-MacBook-Pro:94264] [ 3] 0   libsystem_c.dylib                   0x00007fff67c93ac6 err + 0
[Sahirs-MacBook-Pro:94264] [ 4] 0   libcompiler_rt.dylib                0x00007fff679bd428 __lshrdi3 + 0
[Sahirs-MacBook-Pro:94264] *** End of error message ***
--------------------------------------------------------------------------
Primary job  terminated normally, but 1 process returned
a non-zero exit code. Per user-direction, the job has been aborted.
--------------------------------------------------------------------------
--------------------------------------------------------------------------
mpiexec noticed that process rank 3 with PID 0 on node Sahirs-MacBook-Pro exited on signal 6 (Abort trap: 6).
--------------------------------------------------------------------------
<end of output>
Test time =   2.49 sec
----------------------------------------------------------
Test Fail Reason:
Required regular expression not found. Regex=[End Result: TEST PASSED
]
"TpetraCore_CrsMatrix_TriSolve_MPI_4" end time: Jul 01 16:52 CEST
"TpetraCore_CrsMatrix_TriSolve_MPI_4" time elapsed: 00:00:02
----------------------------------------------------------
519/1573 Testing: TpetraCore_CrsMatrix_ReplaceDomainMapAndImporter_MPI_4
519/1573 Test: TpetraCore_CrsMatrix_ReplaceDomainMapAndImporter_MPI_4
Command: "/usr/local/bin/mpiexec" "-np" "4" "/Users/sahirbutt/sw/trilinos-12.10.1-Source/build/packages/tpetra/core/test/CrsMatrix/TpetraCore_CrsMatrix_ReplaceDomainMapAndImporter.exe"
Directory: /Users/sahirbutt/sw/trilinos-12.10.1-Source/build/packages/tpetra/core/test/CrsMatrix
"TpetraCore_CrsMatrix_ReplaceDomainMapAndImporter_MPI_4" start time: Jul 01 16:52 CEST
Output:
----------------------------------------------------------
Teuchos::GlobalMPISession::GlobalMPISession(): started processor with name Sahirs-MacBook-Pro.local and rank 1!
Teuchos::GlobalMPISession::GlobalMPISession(): started processor with name Sahirs-MacBook-Pro.local and rank 2!
Teuchos::GlobalMPISession::GlobalMPISession(): started processor with name Sahirs-MacBook-Pro.local and rank 3!
Teuchos::GlobalMPISession::GlobalMPISession(): started processor with name Sahirs-MacBook-Pro.local and rank 0!

***
*** Unit test suite ...
***

Sorting tests by group name then by the order they were added ... (time = 1e-05)

Running unit tests ...

0. CrsMatrix_int_int_double_Kokkos_Compat_KokkosSerialWrapperNode_ReplaceDomainMapAndImporter_UnitTest ... [Passed] (0.00327 sec)
Assertion failed: (libcompiler_rt abort), function __subvsi3, file /AppleInternal/BuildRoot/Library/Caches/com.apple.xbs/Sources/Libcompiler_rt/Libcompiler_rt-101.2/lib/builtins/subvsi3.c, line 32.
Assertion failed: (libcompiler_rt abort), function __subvsi3, file /AppleInternal/BuildRoot/Library/Caches/com.apple.xbs/Sources/Libcompiler_rt/Libcompiler_rt-101.2/lib/builtins/subvsi3.c, line 32.
Assertion failed: (libcompiler_rt abort), function __subvsi3, file /AppleInternal/BuildRoot/Library/Caches/com.apple.xbs/Sources/Libcompiler_rt/Libcompiler_rt-101.2/lib/builtins/subvsi3.c, line 32.
Assertion failed: (libcompiler_rt abort), function __subvsi3, file /AppleInternal/BuildRoot/Library/Caches/com.apple.xbs/Sources/Libcompiler_rt/Libcompiler_rt-101.2/lib/builtins/subvsi3.c, line 32.
1. CrsMatrix_int_int_int_Kokkos_Compat_KokkosSerialWrapperNode_ReplaceDomainMapAndImporter_UnitTest ... [Sahirs-MacBook-Pro:94278] *** Process received signal ***
[Sahirs-MacBook-Pro:94278] Signal: Abort trap: 6 (6)
[Sahirs-MacBook-Pro:94278] Signal code:  (0)
[Sahirs-MacBook-Pro:94278] [ 0] [Sahirs-MacBook-Pro:94279] *** Process received signal ***
[Sahirs-MacBook-Pro:94279] Signal: Abort trap: 6 (6)
[Sahirs-MacBook-Pro:94279] Signal code:  (0)
[Sahirs-MacBook-Pro:94276] *** Process received signal ***
[Sahirs-MacBook-Pro:94276] Signal: Abort trap: 6 (6)
[Sahirs-MacBook-Pro:94276] Signal code:  (0)
[Sahirs-MacBook-Pro:94276] [ 0] [Sahirs-MacBook-Pro:94277] *** Process received signal ***
[Sahirs-MacBook-Pro:94277] Signal: Abort trap: 6 (6)
[Sahirs-MacBook-Pro:94277] Signal code:  (0)
[Sahirs-MacBook-Pro:94277] [ 0] 0   libsystem_platform.dylib            0x00007fff67dbe5fd _sigtramp + 29
[Sahirs-MacBook-Pro:94276] [ 1] 0   ???                                 0x00007fc220400000 0x0 + 140471741448192
[Sahirs-MacBook-Pro:94276] [ 2] 0   libsystem_platform.dylib            0x00007fff67dbe5fd _sigtramp + 29
[Sahirs-MacBook-Pro:94278] [ 1] 0   ???                                 0x00007fdccdc00000 0x0 + 140586321444864
[Sahirs-MacBook-Pro:94278] [ 2] [Sahirs-MacBook-Pro:94279] [ 0] 0   libsystem_platform.dylib            0x00007fff67dbe5fd _sigtramp + 29
[Sahirs-MacBook-Pro:94279] [ 1] 0   ???                                 0x00007fcda8000000 0x0 + 140521263595520
[Sahirs-MacBook-Pro:94279] [ 2] 0   libsystem_c.dylib                   0x00007fff67c94808 abort + 120
[Sahirs-MacBook-Pro:94276] [ 3] 0   libsystem_c.dylib                   0x00007fff67c93ac6 err + 0
[Sahirs-MacBook-Pro:94276] [ 4] 0   libcompiler_rt.dylib                0x00007fff679bd428 __lshrdi3 + 0
[Sahirs-MacBook-Pro:94276] *** End of error message ***
0   libsystem_platform.dylib            0x00007fff67dbe5fd _sigtramp + 29
[Sahirs-MacBook-Pro:94277] [ 1] 0   ???                                 0x00007f89e8700000 0x0 + 140230286901248
[Sahirs-MacBook-Pro:94277] [ 2] 0   libsystem_c.dylib                   0x00007fff67c94808 abort + 120
[Sahirs-MacBook-Pro:94277] [ 3] 0   libsystem_c.dylib                   0x00007fff67c93ac6 err + 0
[Sahirs-MacBook-Pro:94277] [ 4] 0   libcompiler_rt.dylib                0x00007fff679bd428 __lshrdi3 + 0
[Sahirs-MacBook-Pro:94277] *** End of error message ***
0   libsystem_c.dylib                   0x00007fff67c94808 abort + 120
[Sahirs-MacBook-Pro:94278] [ 3] 0   libsystem_c.dylib                   0x00007fff67c93ac6 err + 0
[Sahirs-MacBook-Pro:94278] [ 4] 0   libcompiler_rt.dylib                0x00007fff679bd428 __lshrdi3 + 0
[Sahirs-MacBook-Pro:94278] *** End of error message ***
0   libsystem_c.dylib                   0x00007fff67c94808 abort + 120
[Sahirs-MacBook-Pro:94279] [ 3] 0   libsystem_c.dylib                   0x00007fff67c93ac6 err + 0
[Sahirs-MacBook-Pro:94279] [ 4] 0   libcompiler_rt.dylib                0x00007fff679bd428 __lshrdi3 + 0
[Sahirs-MacBook-Pro:94279] *** End of error message ***
--------------------------------------------------------------------------
Primary job  terminated normally, but 1 process returned
a non-zero exit code. Per user-direction, the job has been aborted.
--------------------------------------------------------------------------
--------------------------------------------------------------------------
mpiexec noticed that process rank 3 with PID 0 on node Sahirs-MacBook-Pro exited on signal 6 (Abort trap: 6).
--------------------------------------------------------------------------
<end of output>
Test time =   2.47 sec
----------------------------------------------------------
Test Fail Reason:
Required regular expression not found. Regex=[End Result: TEST PASSED
]
"TpetraCore_CrsMatrix_ReplaceDomainMapAndImporter_MPI_4" end time: Jul 01 16:52 CEST
"TpetraCore_CrsMatrix_ReplaceDomainMapAndImporter_MPI_4" time elapsed: 00:00:02
----------------------------------------------------------

522/1573 Testing: TpetraCore_CrsMatrix_gaussSeidel_MPI_4
522/1573 Test: TpetraCore_CrsMatrix_gaussSeidel_MPI_4
Command: "/usr/local/bin/mpiexec" "-np" "4" "/Users/sahirbutt/sw/trilinos-12.10.1-Source/build/packages/tpetra/core/test/CrsMatrix/TpetraCore_CrsMatrix_gaussSeidel.exe"
Directory: /Users/sahirbutt/sw/trilinos-12.10.1-Source/build/packages/tpetra/core/test/CrsMatrix
"TpetraCore_CrsMatrix_gaussSeidel_MPI_4" start time: Jul 01 16:52 CEST
Output:
----------------------------------------------------------
Teuchos::GlobalMPISession::GlobalMPISession(): started processor with name Sahirs-MacBook-Pro.local and rank 3!
Teuchos::GlobalMPISession::GlobalMPISession(): started processor with name Sahirs-MacBook-Pro.local and rank 1!
Teuchos::GlobalMPISession::GlobalMPISession(): started processor with name Sahirs-MacBook-Pro.local and rank 2!
Teuchos::GlobalMPISession::GlobalMPISession(): started processor with name Sahirs-MacBook-Pro.local and rank 0!

***
*** Unit test suite ...
***

Sorting tests by group name then by the order they were added ... (time = 1.3e-05)

Running unit tests ...

0. CrsMatrix_int_int_double_default_node_type_gaussSeidelSerial_UnitTest ... [Passed] (0.00567 sec)
1. CrsMatrix_int_int_double_default_node_type_reorderedGaussSeidelSerial_UnitTest ... [Passed] (0.00232 sec)
Assertion failed: (libcompiler_rt abort), function __subvsi3, file /AppleInternal/BuildRoot/Library/Caches/com.apple.xbs/Sources/Libcompiler_rt/Libcompiler_rt-101.2/lib/builtins/subvsi3.c, line 32.
Assertion failed: (libcompiler_rt abort), function __subvsi3, file /AppleInternal/BuildRoot/Library/Caches/com.apple.xbs/Sources/Libcompiler_rt/Libcompiler_rt-101.2/lib/builtins/subvsi3.c, line 32.
Assertion failed: (libcompiler_rt abort), function __subvsi3, file /AppleInternal/BuildRoot/Library/Caches/com.apple.xbs/Sources/Libcompiler_rt/Libcompiler_rt-101.2/lib/builtins/subvsi3.c, line 32.
Assertion failed: (libcompiler_rt abort), function __subvsi3, file /AppleInternal/BuildRoot/Library/Caches/com.apple.xbs/Sources/Libcompiler_rt/Libcompiler_rt-101.2/lib/builtins/subvsi3.c, line 32.
[Sahirs-MacBook-Pro:94291] *** Process received signal ***
[Sahirs-MacBook-Pro:94291] Signal: Abort trap: 6 (6)
[Sahirs-MacBook-Pro:94291] Signal code:  (0)
[Sahirs-MacBook-Pro:94292] *** Process received signal ***
[Sahirs-MacBook-Pro:94292] Signal: Abort trap: 6 (6)
[Sahirs-MacBook-Pro:94292] Signal code:  (0)
[Sahirs-MacBook-Pro:94294] *** Process received signal ***
[Sahirs-MacBook-Pro:94294] Signal: Abort trap: 6 (6)
[Sahirs-MacBook-Pro:94294] Signal code:  (0)
2. CrsMatrix_int_int_int_default_node_type_gaussSeidelSerial_UnitTest ... [Sahirs-MacBook-Pro:94291] [ 0] 0   libsystem_platform.dylib            0x00007fff67dbe5fd _sigtramp + 29
[Sahirs-MacBook-Pro:94291] [ 1] [Sahirs-MacBook-Pro:94292] [ 0] 0   libsystem_platform.dylib            0x00007fff67dbe5fd _sigtramp + 29
[Sahirs-MacBook-Pro:94292] [ 1] [Sahirs-MacBook-Pro:94293] *** Process received signal ***
[Sahirs-MacBook-Pro:94293] Signal: Abort trap: 6 (6)
[Sahirs-MacBook-Pro:94293] Signal code:  (0)
[Sahirs-MacBook-Pro:94293] [ 0] [Sahirs-MacBook-Pro:94294] [ 0] 0   libsystem_platform.dylib            0x00007fff67dbe5fd _sigtramp + 29
[Sahirs-MacBook-Pro:94294] [ 1] 0   libsystem_platform.dylib            0x00007fff67dbe5fd _sigtramp + 29
[Sahirs-MacBook-Pro:94293] [ 1] 0   TpetraCore_CrsMatrix_gaussSeidel.ex 0x0000000108dd1dd9 _ZN6Kokkos4ViewIjJNS_10LayoutLeftENS_6SerialEEEC1IA24_cEERKT_NSt9enable_ifIXsrNS_4Impl13is_view_labelIS6_EE5valueEKmE4typeEmmmmmmm.constprop.5866 + 361
[Sahirs-MacBook-Pro:94294] [ 2] 0   libsystem_c.dylib                   0x00007fff67c94808 abort + 120
[Sahirs-MacBook-Pro:94294] [ 3] 0   TpetraCore_CrsMatrix_gaussSeidel.ex 0x000000010fa26dd9 _ZN6Kokkos4ViewIjJNS_10LayoutLeftENS_6SerialEEEC1IA24_cEERKT_NSt9enable_ifIXsrNS_4Impl13is_view_labelIS6_EE5valueEKmE4typeEmmmmmmm.constprop.5866 + 361
[Sahirs-MacBook-Pro:94292] [ 2] 0   libsystem_c.dylib                   0x00007fff67c94808 abort + 120
[Sahirs-MacBook-Pro:94292] [ 3] 0   TpetraCore_CrsMatrix_gaussSeidel.ex 0x0000000109a4cdd9 _ZN6Kokkos4ViewIjJNS_10LayoutLeftENS_6SerialEEEC1IA24_cEERKT_NSt9enable_ifIXsrNS_4Impl13is_view_labelIS6_EE5valueEKmE4typeEmmmmmmm.constprop.5866 + 361
[Sahirs-MacBook-Pro:94291] [ 2] 0   libsystem_c.dylib                   0x00007fff67c94808 abort + 120
0   libsystem_c.dylib                   0x00007fff67c93ac6 err + 0
[Sahirs-MacBook-Pro:94292] [ 4] 0   libcompiler_rt.dylib                0x00007fff679bd428 __lshrdi3 + 0
[Sahirs-MacBook-Pro:94292] *** End of error message ***
0   libsystem_c.dylib                   0x00007fff67c93ac6 err + 0
[Sahirs-MacBook-Pro:94294] [ 4] 0   libcompiler_rt.dylib                0x00007fff679bd428 __lshrdi3 + 0
[Sahirs-MacBook-Pro:94294] *** End of error message ***
[Sahirs-MacBook-Pro:94291] [ 3] 0   libsystem_c.dylib                   0x00007fff67c93ac6 err + 0
[Sahirs-MacBook-Pro:94291] [ 4] 0   libcompiler_rt.dylib                0x00007fff679bd428 __lshrdi3 + 0
[Sahirs-MacBook-Pro:94291] *** End of error message ***
0   TpetraCore_CrsMatrix_gaussSeidel.ex 0x000000010e767dd9 _ZN6Kokkos4ViewIjJNS_10LayoutLeftENS_6SerialEEEC1IA24_cEERKT_NSt9enable_ifIXsrNS_4Impl13is_view_labelIS6_EE5valueEKmE4typeEmmmmmmm.constprop.5866 + 361
[Sahirs-MacBook-Pro:94293] [ 2] 0   libsystem_c.dylib                   0x00007fff67c94808 abort + 120
[Sahirs-MacBook-Pro:94293] [ 3] 0   libsystem_c.dylib                   0x00007fff67c93ac6 err + 0
[Sahirs-MacBook-Pro:94293] [ 4] 0   libcompiler_rt.dylib                0x00007fff679bd428 __lshrdi3 + 0
[Sahirs-MacBook-Pro:94293] *** End of error message ***
--------------------------------------------------------------------------
Primary job  terminated normally, but 1 process returned
a non-zero exit code. Per user-direction, the job has been aborted.
--------------------------------------------------------------------------
--------------------------------------------------------------------------
mpiexec noticed that process rank 3 with PID 0 on node Sahirs-MacBook-Pro exited on signal 6 (Abort trap: 6).
--------------------------------------------------------------------------
<end of output>
Test time =   2.50 sec
----------------------------------------------------------
Test Fail Reason:
Required regular expression not found. Regex=[End Result: TEST PASSED
]
"TpetraCore_CrsMatrix_gaussSeidel_MPI_4" end time: Jul 01 16:52 CEST
"TpetraCore_CrsMatrix_gaussSeidel_MPI_4" time elapsed: 00:00:02
----------------------------------------------------------
1108/1573 Testing: Teko_testdriver_MPI_1
1108/1573 Test: Teko_testdriver_MPI_1
Command: "/usr/local/bin/mpiexec" "-np" "1" "/Users/sahirbutt/sw/trilinos-12.10.1-Source/build/packages/teko/tests/Teko_testdriver.exe"
Directory: /Users/sahirbutt/sw/trilinos-12.10.1-Source/build/packages/teko/tests
"Teko_testdriver_MPI_1" start time: Jul 06 13:53 CEST
Output:
----------------------------------------------------------
Teuchos::GlobalMPISession::GlobalMPISession(): started processor with name Sahirs-MacBook-Pro.local and rank 0!
Running test "SIMPLEPreconditionerFactory"
   "createPrec" ... PASSED
   "initializePrec(diag)" ... PASSED
   Teko: Begin debug MSG
      SIMPLE Parameters: 
         inv type    = ""
         inv v type  = ""
         inv p type  = "Amesos"
         alpha       = 1
         use mass    = 0
         vel scaling = BlkDiag
      SIMPLE Parameter list: 
      Explicit Velocity Inverse Type = BlkDiag
      Inverse Pressure Type = Amesos
      H options -> 
       number of local blocks = 1   [unused]
       block start index = 0x7ff2af918df0   [unused]
       block entry gids = 0x7ff2af915eb0   [unused]
   Teko: End debug MSG
Teko: Inverse "Amesos" is of type strat prec = 0, strat solv = 1, block prec = 0
   "initializePrec(block-1)" ... PASSED
   Teko: Begin debug MSG
      SIMPLE Parameters: 
         inv type    = ""
         inv v type  = ""
         inv p type  = "Amesos"
         alpha       = 1
         use mass    = 0
         vel scaling = BlkDiag
      SIMPLE Parameter list: 
      Explicit Velocity Inverse Type = BlkDiag
      Inverse Pressure Type = Amesos
      H options -> 
       contiguous block size = 2   [unused]
   Teko: End debug MSG
Teko: Inverse "Amesos" is of type strat prec = 0, strat solv = 1, block prec = 0
   "initializePrec(block-2)" ... PASSED
   "uninitializePrec" ... PASSED
   "isCompatable" ... PASSED
   "diagonal(diag)" ... PASSED
   Teko: Begin debug MSG
      SIMPLE Parameters: 
         inv type    = ""
         inv v type  = ""
         inv p type  = "Amesos"
         alpha       = 1
         use mass    = 0
         vel scaling = BlkDiag
      SIMPLE Parameter list: 
      Explicit Velocity Inverse Type = BlkDiag
      Inverse Pressure Type = Amesos
      H options -> 
       number of local blocks = 1   [unused]
       block start index = 0x7ff2af918df0   [unused]
       block entry gids = 0x7ff2af915eb0   [unused]
   Teko: End debug MSG
Teko: Inverse "Amesos" is of type strat prec = 0, strat solv = 1, block prec = 0
   "diagonal(block-1)" ... PASSED
   Teko: Begin debug MSG
      SIMPLE Parameters: 
         inv type    = ""
         inv v type  = ""
         inv p type  = "Amesos"
         alpha       = 1
         use mass    = 0
         vel scaling = BlkDiag
      SIMPLE Parameter list: 
      Explicit Velocity Inverse Type = BlkDiag
      Inverse Pressure Type = Amesos
      H options -> 
       contiguous block size = 2   [unused]
   Teko: End debug MSG
Teko: Inverse "Amesos" is of type strat prec = 0, strat solv = 1, block prec = 0
   "diagonal(block-2)" ... PASSED
   "result(diag)" ... PASSED
===========================================================================

SIMPLE Construction Count   = 1
SIMPLE Construction Total   = 0.000144
SIMPLE Sub Components Total = 0.000114

===========================================================================
DiagnosticLinearOp "iU_t_iL": elapsed = 0.000417, applications = 5, timer/app = 8.34e-05
DiagnosticLinearOp "invS": elapsed = 1.5e-05, applications = 5, timer/app = 3e-06
DiagnosticLinearOp "invF": elapsed = 2.2e-05, applications = 5, timer/app = 4.4e-06
DiagnosticLinearOp "B": elapsed = 9e-05, applications = 5, timer/app = 1.8e-05
DiagnosticLinearOp "HBt": elapsed = 8.5e-05, applications = 5, timer/app = 1.7e-05
   "result_timings(diag)" ... PASSED
   Teko: Begin debug MSG
      SIMPLE Parameters: 
         inv type    = ""
         inv v type  = ""
         inv p type  = "Amesos"
         alpha       = 1
         use mass    = 0
         vel scaling = BlkDiag
      SIMPLE Parameter list: 
      Explicit Velocity Inverse Type = BlkDiag
      Inverse Pressure Type = Amesos
      H options -> 
       number of local blocks = 1   [unused]
       block start index = 0x7ff2af918df0   [unused]
       block entry gids = 0x7ff2af915eb0   [unused]
   Teko: End debug MSG
Teko: Inverse "Amesos" is of type strat prec = 0, strat solv = 1, block prec = 0
   "result(block-1)" ... PASSED
   Teko: Begin debug MSG
      SIMPLE Parameters: 
         inv type    = ""
         inv v type  = ""
         inv p type  = "Amesos"
         alpha       = 1
         use mass    = 0
         vel scaling = BlkDiag
      SIMPLE Parameter list: 
      Explicit Velocity Inverse Type = BlkDiag
      Inverse Pressure Type = Amesos
      H options -> 
       number of local blocks = 1   [unused]
       block start index = 0x7ff2af918df0   [unused]
       block entry gids = 0x7ff2af915eb0   [unused]
   Teko: End debug MSG
Teko: Inverse "Amesos" is of type strat prec = 0, strat solv = 1, block prec = 0
   "result(block-2)" ... PASSED
Test "SIMPLEPreconditionerFactory" completed ... PASSED (13)
Running test "DiagonalPreconditionerFactory"
   "createPrec" ... PASSED
   "initializePrec" ... PASSED
||Z-Y||/||Z|| = 0
   "canApply" ... PASSED
Test "DiagonalPreconditionerFactory" completed ... PASSED (3)
Running test "LU2x2PreconditionerFactory"
   "createPrec" ... PASSED
   "initializePrec" ... PASSED
   "uninitializePrec" ... PASSED
   "isCompatable" ... PASSED
   "identity" ... PASSED
   "diagonal" ... PASSED
   "result" ... PASSED
   "alphabeta" ... PASSED
Test "LU2x2PreconditionerFactory" completed ... PASSED (8)
Running test "LSCStablePreconditionerFactory"
   "createPrec" ... PASSED
Teko: LSCPrecFact::buildPO BuildStateTime = 0
Teko: LSCPrecFact::buildPO GetInvTime = 3e-06
Teko: LSCPrecFact::buildPO TotalTime = 2.3e-05
   "initializePrec" ... PASSED
   "uninitializePrec" ... PASSED
   "isCompatable" ... PASSED
Teko: LSCPrecFact::buildPO BuildStateTime = 0
Teko: LSCPrecFact::buildPO GetInvTime = 1e-06
Teko: LSCPrecFact::buildPO TotalTime = 1.7e-05
   "identity" ... PASSED
Teko: LSCPrecFact::buildPO BuildStateTime = 0
Teko: LSCPrecFact::buildPO GetInvTime = 1e-06
Teko: LSCPrecFact::buildPO TotalTime = 3.1e-05
   "diagonal" ... PASSED
Teko: LSCPrecFact::buildPO BuildStateTime = 0
Teko: LSCPrecFact::buildPO GetInvTime = 0
Teko: LSCPrecFact::buildPO TotalTime = 2.2e-05
   "result" ... PASSED
Test "LSCStablePreconditionerFactory" completed ... PASSED (7)
Running test "LSCStabilized"
Teko: LSCPrecFact::buildPO BuildStateTime = 0
Teko: LSCPrecFact::buildPO GetInvTime = 1e-06
Teko: LSCPrecFact::buildPO TotalTime = 2.4e-05
   "diagonal" ... PASSED
Teko: LSC::initializeState Build Scaling <mass> type "Diagonal"
   Teko: Begin debug MSG
      LSC Gamma Parameter = 0.226764
      LSC Alpha Parameter = 0.646538
   Teko: End debug MSG
Teko: LSC::buildState BuildOpsTime = 0.008909
Teko: LSC::computeInverses Building inv(F)
Teko: LSC::computeInverses GetInvF = 6.3e-05
Teko: LSC::computeInverses Building inv(BQBtmC)
Teko: LSC::computeInverses GetInvBQBt = 3.3e-05
Teko: LSC::buildState BuildInvTime = 0.000115
Teko: LSCPrecFact::buildPO BuildStateTime = 0.009034
Teko: LSCPrecFact::buildPO GetInvTime = 9e-06
Teko: LSCPrecFact::buildPO TotalTime = 0.009082
   "strategy" ... FAILED ( PID = 0 )
Test "LSCStabilized" completed ... FAILED (1)
Running test "Jacobi2x2PreconditionerFactory"
   "createPrec" ... PASSED
   "initializePrec" ... PASSED
   "uninitializePrec" ... PASSED
   "isCompatable" ... PASSED
   "identity" ... PASSED
   "diagonal" ... PASSED
   "result" ... PASSED
   Teko: Begin debug MSG
      Looked up "Block Jacobi"
      Built Teuchos::RCP<Teko::PreconditionerFactory>{ptr=0x7ff2ae4eb0a8,node=0x7ff2ae4b76b0,strong_count=1,weak_count=0}
   Teko: End debug MSG
Teko: JacobiPrecFact: Building default inverse "ML"
Teko: Inverse "ML" is of type strat prec = 1, strat solv = 0, block prec = 0
   Teko: Begin debug MSG
      Looked up "Block Jacobi"
      Built Teuchos::RCP<Teko::PreconditionerFactory>{ptr=0x7ff2ae493738,node=0x7ff2ae449ae0,strong_count=1,weak_count=0}
   Teko: End debug MSG
Teko: JacobiPrecFact: Building default inverse "ML"
Teko: Inverse "ML" is of type strat prec = 1, strat solv = 0, block prec = 0
Teko: JacobiPrecFact: Building inverse 1 "Amesos"
Teko: Inverse "Amesos" is of type strat prec = 0, strat solv = 1, block prec = 0
Teko: JacobiPrecFact: Building inverse 3 "Ifpack"
Teko: Inverse "Ifpack" is of type strat prec = 1, strat solv = 0, block prec = 0
   "initializeFromParameterList" ... PASSED
Test "Jacobi2x2PreconditionerFactory" completed ... PASSED (8)
Running test "BlockJacobiPreconditionerFactory"
   "createPrec" ... PASSED
   "initializePrec" ... PASSED
   "uninitializePrec" ... PASSED
   "isCompatible" ... PASSED
Test "BlockJacobiPreconditionerFactory" completed ... PASSED (4)
Running test "BlockUpperTriInverseOp"
   "apply" ... PASSED
   "alphabeta" ... PASSED
   "applyTranspose" ... PASSED
Test "BlockUpperTriInverseOp" completed ... PASSED (3)
Running test "BlockLowerTriInverseOp"
   "apply" ... PASSED
   "alphabeta" ... PASSED
Test "BlockLowerTriInverseOp" completed ... PASSED (2)
Running test "EpetraOperatorWrapper"
   "functionality" ... PASSED
Test "EpetraOperatorWrapper" completed ... PASSED (1)
Running test "InterlacedEpetra"
   "buildSubMaps_num" ... PASSED
   "buildSubMaps_vec" ... PASSED
   "buildMaps" ... PASSED
   "one2many" ... PASSED
   "many2one" ... PASSED
Test "InterlacedEpetra" completed ... PASSED (5)
Running test "BlockingEpetra"
   "buildMaps" ... PASSED
   "one2many" ... PASSED
   "many2one" ... PASSED
   "buildSubBlock" ... PASSED
Test "BlockingEpetra" completed ... PASSED (4)
Running test "EpetraThyraConverter"
   "blockThyraToEpetra" ... PASSED
   "single_blockThyraToEpetra" ... PASSED
   "blockEpetraToThyra" ... PASSED
   "single_blockEpetraToThyra" ... PASSED
Test "EpetraThyraConverter" completed ... PASSED (4)
Running test "tGraphLaplacian"
   "single_array" ... PASSED
   "multi_array" ... PASSED
Test "tGraphLaplacian" completed ... PASSED (2)
Running test "tParallelInverse"
Teko: Inverse "Amesos" is of type strat prec = 0, strat solv = 1, block prec = 0
   "inverse" ... PASSED
Test "tParallelInverse" completed ... PASSED (1)
Running test "tExplicitOps"
   "mult_diagScaleMatProd" ... PASSED
   "mult_diagScaling" ... PASSED
   "add" ... PASSED
   "mult_modScaleMatProd" ... PASSED
   "add_mod" ... PASSED
Test "tExplicitOps" completed ... PASSED (5)
Running test "LSCHIntegrationTest"
Teko: Inverse "Amesos" is of type strat prec = 0, strat solv = 1, block prec = 0
Teko: LSC::initializeState Build Scaling <mass> type "Diagonal"
Teko: LSC::buildState BuildOpsTime = 0.000146
Teko: LSC::computeInverses Building inv(F)
Teko: LSC::computeInverses GetInvF = 4.1e-05
Teko: LSC::computeInverses Building inv(BQBtmC)
Teko: LSC::computeInverses GetInvBQBt = 4.4e-05
Teko: LSC::computeInverses Building inv(BHBtmC)
Teko: LSC::computeInverses GetInvBHBt = 5.4e-05
Teko: LSC::buildState BuildInvTime = 0.000155
Teko: LSCPrecFact::buildPO BuildStateTime = 0.000324
Teko: LSCPrecFact::buildPO GetInvTime = 1e-06
Teko: LSCPrecFact::buildPO TotalTime = 0.00036
   "hScaling" ... PASSED
Test "LSCHIntegrationTest" completed ... PASSED (1)
Running test "Lumping"
   "lumping" ... PASSED
   "invLumping" ... PASSED
Test "Lumping" completed ... PASSED (2)
Running test "AbsRowSum"
   "absRowSum" ... PASSED
   "invAbsRowSum" ... PASSED
Test "AbsRowSum" completed ... PASSED (2)
Running test "NeumannSeries"
Teko: Inverse "Neumann" is of type strat prec = 1, strat solv = 0, block prec = 0
Teko: Inverse "Amesos" is of type strat prec = 0, strat solv = 1, block prec = 0
Teko: Inverse "Neumann" is of type strat prec = 1, strat solv = 0, block prec = 0
Teko: Inverse "Amesos" is of type strat prec = 0, strat solv = 1, block prec = 0
   "test_simpleOp" ... PASSED
Teko: Inverse "Neumann" is of type strat prec = 1, strat solv = 0, block prec = 0
Teko: Inverse "Amesos" is of type strat prec = 0, strat solv = 1, block prec = 0
   "test_scaledOp" ... PASSED
Test "NeumannSeries" completed ... PASSED (2)
Running test "PCDStrategy"
Teko: Inverse "Amesos" is of type strat prec = 0, strat solv = 1, block prec = 0
   "PCDStrategy" ... PASSED
Test "PCDStrategy" completed ... PASSED (1)
Running test "LSCIntegrationTest"
Teko: LSC::initializeState Build Scaling <mass> type "Diagonal"
Teko: LSC::buildState BuildOpsTime = 0.002081
Teko: LSC::computeInverses Building inv(F)
Teko: LSC::computeInverses GetInvF = 0.012132
Teko: LSC::computeInverses Building inv(BQBtmC)
Teko: LSC::computeInverses GetInvBQBt = 0.004557
Teko: LSC::buildState BuildInvTime = 0.016713
Teko: LSCPrecFact::buildPO BuildStateTime = 0.018824
Teko: LSCPrecFact::buildPO GetInvTime = 2e-06
Teko: LSCPrecFact::buildPO TotalTime = 0.018854
   "withmassStable" ... PASSED
Teko: LSC::initializeState Build Scaling <F> type "Diagonal"
Teko: LSC::buildState BuildOpsTime = 0.001949
Teko: LSC::computeInverses Building inv(F)
Teko: LSC::computeInverses GetInvF = 0.01135
Teko: LSC::computeInverses Building inv(BQBtmC)
Teko: LSC::computeInverses GetInvBQBt = 0.004682
Teko: LSC::buildState BuildInvTime = 0.016059
Teko: LSCPrecFact::buildPO BuildStateTime = 0.01802
Teko: LSCPrecFact::buildPO GetInvTime = 2e-06
Teko: LSCPrecFact::buildPO TotalTime = 0.01805
   "nomassStable" ... PASSED
   Teko: Begin debug MSG
      Looked up "NS LSC"
      Built Teuchos::RCP<Teko::PreconditionerFactory>{ptr=0x7ff2af8978e8,node=0x7ff2af897840,strong_count=1,weak_count=0}
   Teko: End debug MSG
Teko: Building LSC strategy "Basic Inverse"
   Teko: Begin debug MSG
      LSC Inverse Strategy Parameters: 
         inv type   = "Amesos"
         inv v type = "Ifpack"
         inv p type = "Ifpack"
         bndry rows = 1
         use ldu    = 1
         use mass    = 0
         use w-scaling    = 0
         assume stable    = 0
         scale type    = Diagonal
      LSC  Inverse Strategy Parameter list: 
      Inverse Type = Amesos
      Inverse Velocity Type = Ifpack
      Inverse Pressure Type = Ifpack
      Ignore Boundary Rows = 1
      Use LDU = 1
   Teko: End debug MSG
Teko: Inverse "Ifpack" is of type strat prec = 1, strat solv = 0, block prec = 0
   Teko: Begin debug MSG
      Looked up "NS LSC"
      Built Teuchos::RCP<Teko::PreconditionerFactory>{ptr=0x7ff2af831408,node=0x7ff2af831520,strong_count=1,weak_count=0}
   Teko: End debug MSG
Teko: Building LSC strategy "Basic Inverse"
   Teko: Begin debug MSG
      LSC Inverse Strategy Parameters: 
         inv type   = "Amesos"
         inv v type = "Ifpack"
         inv p type = "Ifpack"
         bndry rows = 1
         use ldu    = 1
         use mass    = 0
         use w-scaling    = 0
         assume stable    = 0
         scale type    = Diagonal
      LSC  Inverse Strategy Parameter list: 
      Inverse Type = Amesos
      Inverse Velocity Type = Ifpack
      Inverse Pressure Type = Ifpack
      Ignore Boundary Rows = 1
      Use LDU = 1
   Teko: End debug MSG
Teko: Inverse "Ifpack" is of type strat prec = 1, strat solv = 0, block prec = 0
   Teko: Begin debug MSG
      Looked up "NS LSC"
      Built Teuchos::RCP<Teko::PreconditionerFactory>{ptr=0x7ff2af8978e8,node=0x7ff2af897840,strong_count=1,weak_count=0}
   Teko: End debug MSG
Teko: Building LSC strategy "The Cat"
LSC Construction failed: Strategy "The Cat" could not be constructed
   Teko: Begin debug MSG
      Looked up "NS LSC"
      Built Teuchos::RCP<Teko::PreconditionerFactory>{ptr=0x7ff2af8978e8,node=0x7ff2af897840,strong_count=1,weak_count=0}
   Teko: End debug MSG
LSC Construction failed: Strategy "The Cat" requires a "Strategy Settings" sublist
   "plConstruction" ... PASSED
Test "LSCIntegrationTest" completed ... PASSED (3)
Running test "tStridedEpetraOperator"
   "numvars_constr" ... PASSED
   "vector_constr" ... PASSED
   "reorder(flat reorder)" ... PASSED
   "reorder(composite reorder = 1)" ... PASSED
   "reorder(composite reorder = 2)" ... PASSED
Test "tStridedEpetraOperator" completed ... PASSED (5)
Running test "tBlockedEpetraOperator"
   "vector_constr" ... PASSED
   "reorder(flat reorder)" ... PASSED
   "reorder(composite reorder = 1)" ... PASSED
   "reorder(composite reorder = 2)" ... PASSED
Test "tBlockedEpetraOperator" completed ... PASSED (4)

Tests Passed: 91, Tests Failed: 1
(Incidently, you want no failures)
Teko tests failed
--------------------------------------------------------------------------
Primary job  terminated normally, but 1 process returned
a non-zero exit code. Per user-direction, the job has been aborted.
--------------------------------------------------------------------------
--------------------------------------------------------------------------
mpiexec detected that one or more processes exited with non-zero status, thus causing
the job to be terminated. The first process to do so was:

  Process name: [[9507,1],0]
  Exit code:    255
--------------------------------------------------------------------------
<end of output>
Test time =   4.61 sec
----------------------------------------------------------
Test Fail Reason:
Error regular expression found in output. Regex=[Teko tests failed]
"Teko_testdriver_MPI_1" end time: Jul 06 13:53 CEST
"Teko_testdriver_MPI_1" time elapsed: 00:00:04
----------------------------------------------------------
1110/1573 Testing: Teko_testdriver_tpetra_MPI_1
1110/1573 Test: Teko_testdriver_tpetra_MPI_1
Command: "/usr/local/bin/mpiexec" "-np" "1" "/Users/sahirbutt/sw/trilinos-12.10.1-Source/build/packages/teko/tests/Teko_testdriver_tpetra.exe"
Directory: /Users/sahirbutt/sw/trilinos-12.10.1-Source/build/packages/teko/tests
"Teko_testdriver_tpetra_MPI_1" start time: Jul 06 13:53 CEST
Output:
----------------------------------------------------------
Teuchos::GlobalMPISession::GlobalMPISession(): started processor with name Sahirs-MacBook-Pro.local and rank 0!
Running test "SIMPLEPreconditionerFactory_tpetra"
   "createPrec" ... PASSED
   Teko: Begin debug MSG
      SIMPLE Parameters: 
         inv type    = ""
         inv v type  = "Ifpack2"
         inv p type  = "Ifpack2"
         alpha       = 1
         use mass    = 0
         vel scaling = Lumped
      SIMPLE Parameter list: 
      Explicit Velocity Inverse Type = Lumped
      Inverse Pressure Type = Ifpack2
      Inverse Velocity Type = Ifpack2
   Teko: End debug MSG
Teko: Inverse "Ifpack2" is of type strat prec = 1, strat solv = 0, block prec = 0
   "initializePrec(lumped)" ... PASSED
   Teko: Begin debug MSG
      SIMPLE Parameters: 
         inv type    = ""
         inv v type  = "Ifpack2"
         inv p type  = "Ifpack2"
         alpha       = 1
         use mass    = 0
         vel scaling = Diagonal
      SIMPLE Parameter list: 
      Explicit Velocity Inverse Type = Diagonal
      Inverse Pressure Type = Ifpack2
      Inverse Velocity Type = Ifpack2
   Teko: End debug MSG
Teko: Inverse "Ifpack2" is of type strat prec = 1, strat solv = 0, block prec = 0
   "initializePrec(diag)" ... PASSED
   Teko: Begin debug MSG
      SIMPLE Parameters: 
         inv type    = ""
         inv v type  = "Ifpack2"
         inv p type  = "Ifpack2"
         alpha       = 1
         use mass    = 0
         vel scaling = AbsRowSum
      SIMPLE Parameter list: 
      Explicit Velocity Inverse Type = AbsRowSum
      Inverse Pressure Type = Ifpack2
      Inverse Velocity Type = Ifpack2
   Teko: End debug MSG
Teko: Inverse "Ifpack2" is of type strat prec = 1, strat solv = 0, block prec = 0
   "initializePrec(absrowsum)" ... PASSED
   "uninitializePrec" ... PASSED
   "isCompatable" ... PASSED
   "diagonal(diag)" ... PASSED
   "diagonal(block-1)" ... PASSED
   "diagonal(block-2)" ... PASSED
   "result(diag)" ... PASSED
   "result(block-1)" ... PASSED
   "result(block-2)" ... PASSED
Test "SIMPLEPreconditionerFactory_tpetra" completed ... PASSED (12)
Running test "DiagonalPreconditionerFactory_tpetra"
   "createPrec" ... PASSED
   "initializePrec" ... PASSED
||Z-Y||/||Z|| = 0
   "canApply" ... PASSED
Test "DiagonalPreconditionerFactory_tpetra" completed ... PASSED (3)
Running test "LU2x2PreconditionerFactory_tpetra"
   "createPrec" ... PASSED
   "initializePrec" ... PASSED
   "uninitializePrec" ... PASSED
   "isCompatable" ... PASSED
   "identity" ... PASSED
   "diagonal" ... PASSED
   "result" ... PASSED
   "alphabeta" ... PASSED
Test "LU2x2PreconditionerFactory_tpetra" completed ... PASSED (8)
Running test "LSCStablePreconditionerFactory_tpetra"
   "createPrec" ... PASSED
Teko: LSCPrecFact::buildPO BuildStateTime = 0
Teko: LSCPrecFact::buildPO GetInvTime = 2e-06
Teko: LSCPrecFact::buildPO TotalTime = 2.6e-05
   "initializePrec" ... PASSED
   "uninitializePrec" ... PASSED
   "isCompatable" ... PASSED
Teko: LSCPrecFact::buildPO BuildStateTime = 0
Teko: LSCPrecFact::buildPO GetInvTime = 1e-06
Teko: LSCPrecFact::buildPO TotalTime = 1.7e-05
   "identity" ... PASSED
Teko: LSCPrecFact::buildPO BuildStateTime = 0
Teko: LSCPrecFact::buildPO GetInvTime = 2e-06
Teko: LSCPrecFact::buildPO TotalTime = 6e-05
   "diagonal" ... PASSED
Teko: LSCPrecFact::buildPO BuildStateTime = 0
Teko: LSCPrecFact::buildPO GetInvTime = 0
Teko: LSCPrecFact::buildPO TotalTime = 3.4e-05
   "result" ... PASSED
Test "LSCStablePreconditionerFactory_tpetra" completed ... PASSED (7)
Running test "LSCStabilized_tpetra"
Teko: LSCPrecFact::buildPO BuildStateTime = 0
Teko: LSCPrecFact::buildPO GetInvTime = 1e-06
Teko: LSCPrecFact::buildPO TotalTime = 4.2e-05
   "diagonal" ... PASSED
Teko: Inverse "Ifpack2" is of type strat prec = 1, strat solv = 0, block prec = 0
Teko: LSC::initializeState Build Scaling <mass> type "Diagonal"
   Teko: Begin debug MSG
      LSC Gamma Parameter = 0.226336
      LSC Alpha Parameter = 0.646538
   Teko: End debug MSG
Teko: LSC::buildState BuildOpsTime = 0.004861
Teko: LSC::computeInverses Building inv(F)
Teko: LSC::computeInverses GetInvF = 8.8e-05
Teko: LSC::computeInverses Building inv(BQBtmC)
Teko: LSC::computeInverses GetInvBQBt = 7.4e-05
Teko: LSC::buildState BuildInvTime = 0.000177
Teko: LSCPrecFact::buildPO BuildStateTime = 0.005048
Teko: LSCPrecFact::buildPO GetInvTime = 6e-06
Teko: LSCPrecFact::buildPO TotalTime = 0.005082
   "strategy" ... FAILED ( PID = 0 )
Test "LSCStabilized_tpetra" completed ... FAILED (1)
Running test "Jacobi2x2PreconditionerFactory_tpetra"
   "createPrec" ... PASSED
   "initializePrec" ... PASSED
   "uninitializePrec" ... PASSED
   "isCompatable" ... PASSED
   "identity" ... PASSED
   "diagonal" ... PASSED
   "result" ... PASSED
   Teko: Begin debug MSG
      Looked up "Block Jacobi"
      Built Teuchos::RCP<Teko::PreconditionerFactory>{ptr=0x7f8ac475f2a8,node=0x7f8ac47c7b80,strong_count=1,weak_count=0}
   Teko: End debug MSG
Teko: JacobiPrecFact: Building default inverse "Ifpack2"
Teko: Inverse "Ifpack2" is of type strat prec = 1, strat solv = 0, block prec = 0
   Teko: Begin debug MSG
      Looked up "Block Jacobi"
      Built Teuchos::RCP<Teko::PreconditionerFactory>{ptr=0x7f8ac47a58c8,node=0x7f8ac473a240,strong_count=1,weak_count=0}
   Teko: End debug MSG
Teko: JacobiPrecFact: Building default inverse "ML"
Teko: Inverse "ML" is of type strat prec = 1, strat solv = 0, block prec = 0
Teko: JacobiPrecFact: Building inverse 1 "Amesos"
Teko: Inverse "Amesos" is of type strat prec = 0, strat solv = 1, block prec = 0
Teko: JacobiPrecFact: Building inverse 3 "Ifpack"
Teko: Inverse "Ifpack" is of type strat prec = 1, strat solv = 0, block prec = 0
   "initializeFromParameterList" ... PASSED
Test "Jacobi2x2PreconditionerFactory_tpetra" completed ... PASSED (8)
Running test "BlockJacobiPreconditionerFactory_tpetra"
   "createPrec" ... PASSED
   "initializePrec" ... PASSED
   "uninitializePrec" ... PASSED
   "isCompatible" ... PASSED
Test "BlockJacobiPreconditionerFactory_tpetra" completed ... PASSED (4)
Running test "BlockUpperTriInverseOp_tpetra"
   "apply" ... PASSED
   "alphabeta" ... PASSED
Test "BlockUpperTriInverseOp_tpetra" completed ... PASSED (2)
Running test "BlockLowerTriInverseOp_tpetra"
   "apply" ... PASSED
   "alphabeta" ... PASSED
Test "BlockLowerTriInverseOp_tpetra" completed ... PASSED (2)
Running test "tTpetraOperatorWrapper"
   "functionality" ... PASSED
Test "tTpetraOperatorWrapper" completed ... PASSED (1)
Running test "InterlacedTpetra"
   "buildSubMaps_num" ... PASSED
   "buildSubMaps_vec" ... PASSED
   "buildMaps" ... PASSED
   "one2many" ... PASSED
   "many2one" ... PASSED
Test "InterlacedTpetra" completed ... PASSED (5)
Running test "BlockingTpetra"
   "buildMaps" ... PASSED
   "one2many" ... PASSED
   "many2one" ... PASSED
   "buildSubBlock" ... PASSED
Test "BlockingTpetra" completed ... PASSED (4)
Running test "TpetraThyraConverter"
   "blockThyraToTpetra" ... PASSED
   "single_blockThyraToTpetra" ... PASSED
   "blockTpetraToThyra" ... PASSED
   "single_blockTpetraToThyra" ... PASSED
Test "TpetraThyraConverter" completed ... PASSED (4)
Running test "tGraphLaplacian_tpetra"
   "single_array" ... PASSED
   "multi_array" ... PASSED
Test "tGraphLaplacian_tpetra" completed ... PASSED (2)
Running test "tParallelInverse_tpetra"
Teko: Inverse "Belos" is of type strat prec = 0, strat solv = 1, block prec = 0
   "inverse" ... PASSED
Test "tParallelInverse_tpetra" completed ... PASSED (1)
Running test "tExplicitOps_tpetra"
   "mult_diagScaleMatProd" ... PASSED
   "mult_diagScaling" ... PASSED
   "add" ... PASSED
   "mult_modScaleMatProd" ... PASSED
   "add_mod" ... PASSED
Test "tExplicitOps_tpetra" completed ... PASSED (5)
Running test "LSCHIntegrationTest_tpetra"
Teko: Inverse "Ifpack2" is of type strat prec = 1, strat solv = 0, block prec = 0
Teko: LSC::initializeState Build Scaling <mass> type "Diagonal"
Teko: LSC::buildState BuildOpsTime = 0.000246
Teko: LSC::computeInverses Building inv(F)
Teko: LSC::computeInverses GetInvF = 0.000113
Teko: LSC::computeInverses Building inv(BQBtmC)
Teko: LSC::computeInverses GetInvBQBt = 7.7e-05
Teko: LSC::computeInverses Building inv(BHBtmC)
Teko: LSC::computeInverses GetInvBHBt = 0.000137
Teko: LSC::buildState BuildInvTime = 0.000348
Teko: LSCPrecFact::buildPO BuildStateTime = 0.000603
Teko: LSCPrecFact::buildPO GetInvTime = 1e-06
Teko: LSCPrecFact::buildPO TotalTime = 0.000626
   "hScaling" ... PASSED
Test "LSCHIntegrationTest_tpetra" completed ... PASSED (1)
Running test "Lumping_tpetra"
   "lumping" ... PASSED
   "invLumping" ... PASSED
Test "Lumping_tpetra" completed ... PASSED (2)
Running test "AbsRowSum_tpetra"
   "absRowSum" ... PASSED
   "invAbsRowSum" ... PASSED
Test "AbsRowSum_tpetra" completed ... PASSED (2)
Running test "NeumannSeries_tpetra"
Teko: Inverse "Neumann" is of type strat prec = 1, strat solv = 0, block prec = 0
Teko: Inverse "Ifpack2" is of type strat prec = 1, strat solv = 0, block prec = 0
Teko: Inverse "Neumann" is of type strat prec = 1, strat solv = 0, block prec = 0
Teko: Inverse "Ifpack2" is of type strat prec = 1, strat solv = 0, block prec = 0
   "test_simpleOp" ... PASSED
Teko: Inverse "Neumann" is of type strat prec = 1, strat solv = 0, block prec = 0
Teko: Inverse "Ifpack2" is of type strat prec = 1, strat solv = 0, block prec = 0
   "test_scaledOp" ... PASSED
Test "NeumannSeries_tpetra" completed ... PASSED (2)
Running test "PCDStrategy_tpetra"
Teko: Inverse "Ifpack2" is of type strat prec = 1, strat solv = 0, block prec = 0
   "PCDStrategy" ... PASSED
Test "PCDStrategy_tpetra" completed ... PASSED (1)
Running test "LSCIntegrationTest_tpetra"
Teko: LSC::initializeState Build Scaling <mass> type "Diagonal"
Teko: LSC::buildState BuildOpsTime = 0.003419
Teko: LSC::computeInverses Building inv(F)
Teko: LSC::computeInverses GetInvF = 0.012113
Teko: LSC::computeInverses Building inv(BQBtmC)
Teko: LSC::computeInverses GetInvBQBt = 0.002254
Teko: LSC::buildState BuildInvTime = 0.014433
Teko: LSCPrecFact::buildPO BuildStateTime = 0.017883
Teko: LSCPrecFact::buildPO GetInvTime = 1e-06
Teko: LSCPrecFact::buildPO TotalTime = 0.017912
   "withmassStable" ... PASSED
Teko: LSC::initializeState Build Scaling <F> type "Diagonal"
Teko: LSC::buildState BuildOpsTime = 0.003718
Teko: LSC::computeInverses Building inv(F)
Teko: LSC::computeInverses GetInvF = 0.012335
Teko: LSC::computeInverses Building inv(BQBtmC)
Teko: LSC::computeInverses GetInvBQBt = 0.002342
Teko: LSC::buildState BuildInvTime = 0.014712
Teko: LSCPrecFact::buildPO BuildStateTime = 0.01845
Teko: LSCPrecFact::buildPO GetInvTime = 1e-06
Teko: LSCPrecFact::buildPO TotalTime = 0.018483
   "nomassStable" ... PASSED
   Teko: Begin debug MSG
      Looked up "NS LSC"
      Built Teuchos::RCP<Teko::PreconditionerFactory>{ptr=0x7f8ac44a4698,node=0x7f8ac7ff4f50,strong_count=1,weak_count=0}
   Teko: End debug MSG
Teko: Building LSC strategy "Basic Inverse"
   Teko: Begin debug MSG
      LSC Inverse Strategy Parameters: 
         inv type   = "Amesos"
         inv v type = "Ifpack"
         inv p type = "Ifpack"
         bndry rows = 1
         use ldu    = 1
         use mass    = 0
         use w-scaling    = 0
         assume stable    = 0
         scale type    = Diagonal
      LSC  Inverse Strategy Parameter list: 
      Inverse Type = Amesos
      Inverse Velocity Type = Ifpack
      Inverse Pressure Type = Ifpack
      Ignore Boundary Rows = 1
      Use LDU = 1
   Teko: End debug MSG
Teko: Inverse "Ifpack" is of type strat prec = 1, strat solv = 0, block prec = 0
   Teko: Begin debug MSG
      Looked up "NS LSC"
      Built Teuchos::RCP<Teko::PreconditionerFactory>{ptr=0x7f8ac44aa1a8,node=0x7f8ac44aa2c0,strong_count=1,weak_count=0}
   Teko: End debug MSG
Teko: Building LSC strategy "Basic Inverse"
   Teko: Begin debug MSG
      LSC Inverse Strategy Parameters: 
         inv type   = "Amesos"
         inv v type = "Ifpack"
         inv p type = "Ifpack"
         bndry rows = 1
         use ldu    = 1
         use mass    = 0
         use w-scaling    = 0
         assume stable    = 0
         scale type    = Diagonal
      LSC  Inverse Strategy Parameter list: 
      Inverse Type = Amesos
      Inverse Velocity Type = Ifpack
      Inverse Pressure Type = Ifpack
      Ignore Boundary Rows = 1
      Use LDU = 1
   Teko: End debug MSG
Teko: Inverse "Ifpack" is of type strat prec = 1, strat solv = 0, block prec = 0
   Teko: Begin debug MSG
      Looked up "NS LSC"
      Built Teuchos::RCP<Teko::PreconditionerFactory>{ptr=0x7f8ac44a4698,node=0x7f8ac7ff4f50,strong_count=1,weak_count=0}
   Teko: End debug MSG
Teko: Building LSC strategy "The Cat"
LSC Construction failed: Strategy "The Cat" could not be constructed
   Teko: Begin debug MSG
      Looked up "NS LSC"
      Built Teuchos::RCP<Teko::PreconditionerFactory>{ptr=0x7f8ac44a4698,node=0x7f8ac44a9cd0,strong_count=1,weak_count=0}
   Teko: End debug MSG
LSC Construction failed: Strategy "The Cat" requires a "Strategy Settings" sublist
   "plConstruction" ... PASSED
Test "LSCIntegrationTest_tpetra" completed ... PASSED (3)
Running test "tStridedTpetraOperator"
   "numvars_constr" ... PASSED
   "vector_constr" ... PASSED
   "reorder(flat reorder)" ... PASSED
   "reorder(composite reorder = 1)" ... PASSED
   "reorder(composite reorder = 2)" ... PASSED
Test "tStridedTpetraOperator" completed ... PASSED (5)
Running test "tBlockedTpetraOperator"
   "vector_constr" ... PASSED
   "reorder(flat reorder)" ... PASSED
   "reorder(composite reorder = 1)" ... PASSED
   "reorder(composite reorder = 2)" ... PASSED
Test "tBlockedTpetraOperator" completed ... PASSED (4)

Tests Passed: 89, Tests Failed: 1
(Incidently, you want no failures)
Teko tests failed
--------------------------------------------------------------------------
Primary job  terminated normally, but 1 process returned
a non-zero exit code. Per user-direction, the job has been aborted.
--------------------------------------------------------------------------
--------------------------------------------------------------------------
mpiexec detected that one or more processes exited with non-zero status, thus causing
the job to be terminated. The first process to do so was:

  Process name: [[9515,1],0]
  Exit code:    255
--------------------------------------------------------------------------
<end of output>
Test time =   7.17 sec
----------------------------------------------------------
Test Fail Reason:
Error regular expression found in output. Regex=[Teko tests failed]
"Teko_testdriver_tpetra_MPI_1" end time: Jul 06 13:54 CEST
"Teko_testdriver_tpetra_MPI_1" time elapsed: 00:00:07
----------------------------------------------------------
1264/1573 Testing: Phalanx_dag_manager_MPI_1
1264/1573 Test: Phalanx_dag_manager_MPI_1
Command: "/usr/local/bin/mpiexec" "-np" "1" "/Users/sahirbutt/sw/trilinos-12.10.1-Source/build/packages/phalanx/test/DagManager/Phalanx_dag_manager.exe"
Directory: /Users/sahirbutt/sw/trilinos-12.10.1-Source/build/packages/phalanx/test/DagManager
"Phalanx_dag_manager_MPI_1" start time: Jul 06 13:55 CEST
Output:
----------------------------------------------------------
Teuchos::GlobalMPISession::GlobalMPISession(): started processor with name Sahirs-MacBook-Pro.local and rank 0!

***
*** Unit test suite ...
***

Sorting tests by group name then by the order they were added ... (time = 9e-06)

Running unit tests ...

0. dag_basic_dag_UnitTest ... 
******************************************************
PHX::DagManager
Evaluation Type = ???
******************************************************

** Starting Required Field List
Tag: A, double, DataLayout: H-Grad<CELL,BASIS>(100,4)
** Finished Required Field List

** Starting Registered Field Evaluators
Evaluator[0]: Name=Eval_A
  *Evaluated Fields:
    A:double:H-Grad<CELL,BASIS>(100,4)
  *Contributed Fields:
  *Dependent Fields:
    B:double:H-Grad<CELL,BASIS>(100,4)
    C:double:H-Grad<CELL,BASIS>(100,4)
Evaluator[1]: Name=Eval_B
  *Evaluated Fields:
    B:double:H-Grad<CELL,BASIS>(100,4)
    D:double:H-Grad<CELL,BASIS>(100,4)
  *Contributed Fields:
  *Dependent Fields:
    E:double:H-Grad<CELL,BASIS>(100,4)
Evaluator[2]: Name=Eval_C
  *Evaluated Fields:
    C:double:H-Grad<CELL,BASIS>(100,4)
  *Contributed Fields:
  *Dependent Fields:
    E:double:H-Grad<CELL,BASIS>(100,4)
Evaluator[3]: Name=Eval_E
  *Evaluated Fields:
    E:double:H-Grad<CELL,BASIS>(100,4)
  *Contributed Fields:
  *Dependent Fields:
    None!
** Finished Registered Field Evaluators

** Starting Evaluator Order
0    3
1    2
2    1
3    0

Details:
Evaluator[3]: Name=Eval_E
  *Evaluated Fields:
    E:double:H-Grad<CELL,BASIS>(100,4)
  *Contributed Fields:
  *Dependent Fields:
    None!
Evaluator[2]: Name=Eval_C
  *Evaluated Fields:
    C:double:H-Grad<CELL,BASIS>(100,4)
  *Contributed Fields:
  *Dependent Fields:
    E:double:H-Grad<CELL,BASIS>(100,4)
Evaluator[1]: Name=Eval_B
  *Evaluated Fields:
    B:double:H-Grad<CELL,BASIS>(100,4)
    D:double:H-Grad<CELL,BASIS>(100,4)
  *Contributed Fields:
  *Dependent Fields:
    E:double:H-Grad<CELL,BASIS>(100,4)
Evaluator[0]: Name=Eval_A
  *Evaluated Fields:
    A:double:H-Grad<CELL,BASIS>(100,4)
  *Contributed Fields:
  *Dependent Fields:
    B:double:H-Grad<CELL,BASIS>(100,4)
    C:double:H-Grad<CELL,BASIS>(100,4)
** Finished Provider Evaluation Order
******************************************************
Finished PHX::DagManager
Evaluation Type = ???
******************************************************

******************************************************
PHX::DagManager
Evaluation Type = ???
******************************************************

** Starting Required Field List
Tag: A, double, DataLayout: H-Grad<CELL,BASIS>(100,4)
** Finished Required Field List

** Starting Registered Field Evaluators
Evaluator[0]: Name=Eval_A
  *Evaluated Fields:
    A:double:H-Grad<CELL,BASIS>(100,4)
  *Contributed Fields:
  *Dependent Fields:
    B:double:H-Grad<CELL,BASIS>(100,4)
    C:double:H-Grad<CELL,BASIS>(100,4)
Evaluator[1]: Name=Eval_B
  *Evaluated Fields:
    B:double:H-Grad<CELL,BASIS>(100,4)
    D:double:H-Grad<CELL,BASIS>(100,4)
  *Contributed Fields:
  *Dependent Fields:
    E:double:H-Grad<CELL,BASIS>(100,4)
Evaluator[2]: Name=Eval_C
  *Evaluated Fields:
    C:double:H-Grad<CELL,BASIS>(100,4)
  *Contributed Fields:
  *Dependent Fields:
    E:double:H-Grad<CELL,BASIS>(100,4)
Evaluator[3]: Name=Eval_E
  *Evaluated Fields:
    E:double:H-Grad<CELL,BASIS>(100,4)
  *Contributed Fields:
  *Dependent Fields:
    None!
** Finished Registered Field Evaluators

** Starting Evaluator Order
0    3
1    2
2    1
3    0

Details:
Evaluator[3]: Name=Eval_E
  *Evaluated Fields:
    E:double:H-Grad<CELL,BASIS>(100,4)
  *Contributed Fields:
  *Dependent Fields:
    None!
Evaluator[2]: Name=Eval_C
  *Evaluated Fields:
    C:double:H-Grad<CELL,BASIS>(100,4)
  *Contributed Fields:
  *Dependent Fields:
    E:double:H-Grad<CELL,BASIS>(100,4)
Evaluator[1]: Name=Eval_B
  *Evaluated Fields:
    B:double:H-Grad<CELL,BASIS>(100,4)
    D:double:H-Grad<CELL,BASIS>(100,4)
  *Contributed Fields:
  *Dependent Fields:
    E:double:H-Grad<CELL,BASIS>(100,4)
Evaluator[0]: Name=Eval_A
  *Evaluated Fields:
    A:double:H-Grad<CELL,BASIS>(100,4)
  *Contributed Fields:
  *Dependent Fields:
    B:double:H-Grad<CELL,BASIS>(100,4)
    C:double:H-Grad<CELL,BASIS>(100,4)
** Finished Provider Evaluation Order
******************************************************
Finished PHX::DagManager
Evaluation Type = ???
******************************************************

[Passed] (0.00291 sec)
1. dag_cyclic_UnitTest ... [Passed] (0.000657 sec)
2. dag_duplicate_evaluators_UnitTest ... [Passed] (0.000102 sec)
3. dag_missing_req_field_UnitTest ... [Passed] (0.000295 sec)
4. dag_missing_evaluator_UnitTest ... [Passed] (0.000323 sec)
5. dag_analyze_graph_UnitTest ... [Passed] (7.3e-05 sec)
6. dag_analyze_graph2_UnitTest ... [Passed] (0.000102 sec)
7. dag_contrib_and_eval_B_UnitTest ... [Passed] (0.0004 sec)
8. dag_contrib_only_B_UnitTest ... 
 order_new[0] = 2 == 2 = 2 : passed
 order_new[1] = 1 == 1 = 1 : passed
 order_new[2] = 4 == 3 = 3 : FAILED ==> /Users/sahirbutt/sw/trilinos-12.10.1-Source/packages/phalanx/test/DagManager/DagManagerTest.cpp:664
 order_new[3] = 3 == 4 = 4 : FAILED ==> /Users/sahirbutt/sw/trilinos-12.10.1-Source/packages/phalanx/test/DagManager/DagManagerTest.cpp:665
 order_new[4] = 0 == 0 = 0 : passed
 nodes[0].adjacencies().size() = 3 == 3 = 3 : passed
 nodes[0].adjacencies().find(1) != nodes[0].adjacencies().end() = 1 == true : passed
 nodes[0].adjacencies().find(3) != nodes[0].adjacencies().end() = 1 == true : passed
 nodes[0].adjacencies().find(4) != nodes[0].adjacencies().end() = 1 == true : passed
 nodes[3].adjacencies().size() = 1 == 1 = 1 : passed
 nodes[3].adjacencies().find(2) != nodes[3].adjacencies().end() = 1 == true : passed
 nodes[4].adjacencies().size() = 1 == 1 = 1 : passed
 nodes[4].adjacencies().find(2) != nodes[4].adjacencies().end() = 1 == true : passed
 [FAILED]  (0.000365 sec) dag_contrib_only_B_UnitTest
 Location: /Users/sahirbutt/sw/trilinos-12.10.1-Source/packages/phalanx/test/DagManager/DagManagerTest.cpp:598

The following tests FAILED:
    8. dag_contrib_only_B_UnitTest ... 

Total Time: 0.00551 sec

Summary: total = 9, run = 9, passed = 8, failed = 1

End Result: TEST FAILED
--------------------------------------------------------------------------
Primary job  terminated normally, but 1 process returned
a non-zero exit code. Per user-direction, the job has been aborted.
--------------------------------------------------------------------------
--------------------------------------------------------------------------
mpiexec detected that one or more processes exited with non-zero status, thus causing
the job to be terminated. The first process to do so was:

  Process name: [[9816,1],0]
  Exit code:    1
--------------------------------------------------------------------------
<end of output>
Test time =   2.26 sec
----------------------------------------------------------
Test Failed.
"Phalanx_dag_manager_MPI_1" end time: Jul 06 13:55 CEST
"Phalanx_dag_manager_MPI_1" time elapsed: 00:00:02
----------------------------------------------------------
1573/1573 Testing: PikeBlackBox_rxn_MPI_1
1573/1573 Test: PikeBlackBox_rxn_MPI_1
Command: "/usr/local/bin/mpiexec" "-np" "1" "/Users/sahirbutt/sw/trilinos-12.10.1-Source/build/packages/pike/blackbox/test/core/PikeBlackBox_rxn.exe"
Directory: /Users/sahirbutt/sw/trilinos-12.10.1-Source/build/packages/pike/blackbox/test/core
"PikeBlackBox_rxn_MPI_1" start time: Jul 06 13:59 CEST
Output:
----------------------------------------------------------
Teuchos::GlobalMPISession::GlobalMPISession(): started processor with name Sahirs-MacBook-Pro.local and rank 0!

***
*** Unit test suite ...
***

Sorting tests by group name then by the order they were added ... (time = 9e-06)

Running unit tests ...

0. rxn_monolithic_UnitTest ... 
h=0.1, error=2.40455e-05
h=0.05, error=1.32539e-06
h=0.01, error=1.91841e-09
h=0.005, error=1.1841e-10
h=0.001, error=1.87816e-13
sd_x=1.64357
sd_y=6.65782
order = 4.05074
[Passed] (0.00302 sec)
1. rxn_hierarchic_UnitTest ... p=0, Eq3        | Application Ranks(Eq1) = 0
p=0, Eq3        | Application Ranks(Eq2) = 0
p=0, Eq3        | Application Ranks(Eq3) = 0
p=0, Eq3        | Transfer Ranks(Eq1->Eq2) = 0
p=0, Eq3        | Transfer Ranks(Eq1->Eq3) = 0

 ** Begin Solve Status **
    ??...........OR Composite (5 subtests):
       **...........Num Iterations = 0, limited to 6
       ??...........Local Model Failure for "Eq1".
       ??...........Local Model Failure for "Eq2".
       ??...........Local Model Failure for "Eq3".
       **...........AND Composite (3 subtests):
          **...........RTol of "CA" in "Eq1": 0.743 must be < 0.001
                       (Current Value = 0.743, Previous Value = 0)
          **...........RTol of "CB" in "Eq2": 0 must be < 0.001
                       (Current Value = 0, Previous Value = 0)
          **...........RTol of "CC" in "Eq3": 0 must be < 0.001
                       (Current Value = 0, Previous Value = 0)
p=0, Eq1        | 1To2: source value = 0.740818
p=0, Eq2        | 1To2: target value = 0.740818
p=0, Eq1        | 1To3: source value = 0.740818
p=0, Eq3        | 1To3: target value = 0.740818

 ** Step 1 Status **
    **...........OR Composite (5 subtests):
       **...........Num Iterations = 1, limited to 6
       **...........Local Model Failure for "Eq1".
       **...........Local Model Failure for "Eq2".
       **...........Local Model Failure for "Eq3".
       **...........AND Composite (3 subtests):
          **...........RTol of "CA" in "Eq1": 0.00223 must be < 0.001
                       (Current Value = 0.741, Previous Value = 0.743)
          CONVERGED....RTol of "CB" in "Eq2": 0 must be < 0.001
                       (Current Value = 0, Previous Value = 0)
          CONVERGED....RTol of "CC" in "Eq3": 0 must be < 0.001
                       (Current Value = 0, Previous Value = 0)
p=0, Eq1        | 1To2: source value = 0.740818
p=0, Eq2        | 1To2: target value = 0.740818
p=0, Eq1        | 1To3: source value = 0.740818
p=0, Eq3        | 1To3: target value = 0.740818

 ** Step 2 Status **
    CONVERGED....OR Composite (5 subtests):
       **...........Num Iterations = 2, limited to 6
       **...........Local Model Failure for "Eq1".
       **...........Local Model Failure for "Eq2".
       **...........Local Model Failure for "Eq3".
       CONVERGED....AND Composite (3 subtests):
          CONVERGED....RTol of "CA" in "Eq1": 0 must be < 0.001
                       (Current Value = 0.741, Previous Value = 0.741)
          CONVERGED....RTol of "CB" in "Eq2": 0 must be < 0.001
                       (Current Value = 0, Previous Value = 0)
          CONVERGED....RTol of "CC" in "Eq3": 0 must be < 0.001
                       (Current Value = 0, Previous Value = 0)

 ** End Solve Status **
    CONVERGED....OR Composite (5 subtests):
       **...........Num Iterations = 2, limited to 6
       **...........Local Model Failure for "Eq1".
       **...........Local Model Failure for "Eq2".
       **...........Local Model Failure for "Eq3".
       CONVERGED....AND Composite (3 subtests):
          CONVERGED....RTol of "CA" in "Eq1": 0 must be < 0.001
                       (Current Value = 0.741, Previous Value = 0.741)
          CONVERGED....RTol of "CB" in "Eq2": 0 must be < 0.001
                       (Current Value = 0, Previous Value = 0)
          CONVERGED....RTol of "CC" in "Eq3": 0 must be < 0.001
                       (Current Value = 0, Previous Value = 0)

h=0.1, error=1.92793e-05
h=0.05, error=1.06267e-06
h=0.01, error=1.53815e-09
h=0.005, error=9.49397e-11
h=0.001, error=1.50657e-13
sd_x=1.64357
sd_y=6.65768
order = 4.05065
[Sahirs-MacBook-Pro:64001] *** Process received signal ***
[Sahirs-MacBook-Pro:64001] Signal: Segmentation fault: 11 (11)
[Sahirs-MacBook-Pro:64001] Signal code:  (0)
[Sahirs-MacBook-Pro:64001] Failing at address: 0x0
[Sahirs-MacBook-Pro:64001] [ 0] 0   libsystem_platform.dylib            0x00007fff67dbe5fd _sigtramp + 29
[Sahirs-MacBook-Pro:64001] [ 1] 0   ???                                 0xf50b870a25477269 0x0 + 17657355241681023593
[Sahirs-MacBook-Pro:64001] *** End of error message ***
--------------------------------------------------------------------------
Primary job  terminated normally, but 1 process returned
a non-zero exit code. Per user-direction, the job has been aborted.
--------------------------------------------------------------------------
--------------------------------------------------------------------------
mpiexec noticed that process rank 0 with PID 0 on node Sahirs-MacBook-Pro exited on signal 11 (Segmentation fault: 11).
--------------------------------------------------------------------------
<end of output>
Test time =   2.26 sec
----------------------------------------------------------
Test Failed.
"PikeBlackBox_rxn_MPI_1" end time: Jul 06 13:59 CEST
"PikeBlackBox_rxn_MPI_1" time elapsed: 00:00:02
----------------------------------------------------------
kddevin commented 4 years ago

Looking at the first failure, TeuchosComm_Time_test_MPI_1, it appears there might be some problem in the compiler libraries or MPI library. I don't have a way to reproduce this error, even on my mac.

This test is likely the simplest test of all the failures, and resolving it may resolve the other failures as well. It might help to know how far through the test you get before the failure -- a stacktrace or some print statements would help.

Because we can't reproduce this error here, we'll need your help to debug it. The command line for running the test was included in your comments. The source file is Trilinos/packages/teuchos/comm/test/Time/cxx_main.cpp.

sahirbutt commented 4 years ago

@kddevin, when I run: mpirun -v -np 1 TeuchosComm_Time_test.exe in ...build/packages/teuchos/comm/test/Time I get the same error as in Testing/Temporary/LastTest.log. I am not sure how to get/print statements up to the failure...

kddevin commented 4 years ago

Yes, I expected the same behavior. Can you run it in a debugger to get a stack trace when it fails? Thanks.

kddevin commented 4 years ago

Might need to reconfigure / rebuild with -D CMAKE_BUILD_TYPE:STRING=DEBUG to get useful stack track info.

github-actions[bot] commented 2 years ago

This issue has had no activity for 365 days and is marked for closure. It will be closed after an additional 30 days of inactivity. If you would like to keep this issue open please add a comment and/or remove the MARKED_FOR_CLOSURE label. If this issue should be kept open even with no activity beyond the time limits you can add the label DO_NOT_AUTOCLOSE. If it is ok for this issue to be closed, feel free to go ahead and close it. Please do not add any comments or change any labels or otherwise touch this issue unless your intention is to reset the inactivity counter for an additional year.

github-actions[bot] commented 2 years ago

This issue was closed due to inactivity for 395 days.

tkircher commented 11 months ago

Just for anyone who runs across this same issue, which I did on Debian, just comment out

using Teuchos::MpiComm;

In the following files:

packages/teuchos/comm/test/Comm/reduce.cpp
packages/teuchos/comm/test/Comm/scatter.cpp
cgcgcg commented 11 months ago

@tkircher Could you open a PR for that? I guess some compilers are more willing than others to tolerate a repeated using statement?