ucb-bar / berkeley-hardfloat

Other
271 stars 84 forks source link

chisel3.Driver.execute is deprecated #46

Closed edwardcwang closed 2 years ago

edwardcwang commented 4 years ago

As of Chisel 3.2.2, Driver.execute is deprecated and will be removed in 3.4. There appear to be ~100 usages in src/main/scala/tests.scala as shown below:

[warn] /hardfloat/src/main/scala/tests.scala:62:32: method execute in object Driver is deprecated (since 3.2.2): Use chisel3.stage.ChiselStage.execute. This will be removed in 3.4.
[warn]                 chisel3.Driver.execute(testArgs, () => new ValExec_f16FromRecF16)
[warn]                                ^
[warn] /hardfloat/src/main/scala/tests.scala:62:25: object Driver in package chisel3 is deprecated (since 3.2.4): Please switch to chisel3.stage.ChiselStage. Driver will be removed in 3.4.
[warn]                 chisel3.Driver.execute(testArgs, () => new ValExec_f16FromRecF16)
[warn]                         ^
...
seldridge commented 2 years ago

This should be fixed in 13d92dc8e6b3113b1b90da00d618f845f3df8449.

aswaterman commented 2 years ago

True.

Mingxintang-coder commented 2 years ago

how can i generate verilog codes via chisel3.4?

sequencer commented 2 years ago

how can i generate verilog codes via chisel3.4?

See https://github.com/ucb-bar/berkeley-hardfloat/blob/455da58b0ac4e5836e5384a49fc41c1080179b5e/src/test/scala/FMATester.scala#L41-L47