ucb-bar / chipyard

An Agile RISC-V SoC Design Framework with in-order cores, out-of-order cores, accelerators, and more
https://chipyard.readthedocs.io/en/stable/
BSD 3-Clause "New" or "Revised" License
1.58k stars 630 forks source link

lnuma not found? #1377

Closed noahgaertner closed 1 year ago

noahgaertner commented 1 year ago

Background Work

Chipyard Version and Hash

Release: 1.8.1 Hash: https://github.com/ucb-bar/chipyard/commit/004297b6a8c01be1b2110c4cf4f9393ae1ff8805

OS Setup

❯ uname -a
Linux ece015.ece.local.cmu.edu 3.10.0-1160.62.1.el7.x86_64 #1 SMP Wed Mar 23 09:04:02 UTC 2022 x86_64 GNU/Linux
❯ lsb_release -a
LSB Version:    :core-4.1-amd64:core-4.1-noarch:cxx-4.1-amd64:cxx-4.1-noarch:desktop-4.1-amd64:desktop-4.1-noarch:languages-4.1-amd64:languages-4.1-noarch:printing-4.1-amd64:printing-4.1-noarch
Distributor ID: RedHatEnterpriseServer
Description:    Red Hat Enterprise Linux Server release 7.9 (Maipo)
Release:        7.9
Codename:       Maipo
❯ printenv
MANPATH=/afs/ece.cmu.edu/usr/ngaertne/.nvm/versions/node/v19.6.0/share/man:/afs/club.cc.cmu.edu/contrib/rhel79/share/man::/opt/puppetlabs/puppet/share/man:/afs/ece.cmu.edu/support/synopsys/synopsys.release/T-Foundation/vcs/T-2022.06/doc/man:/syn/T-2022.03-SP2/doc/syn/man
XDG_SESSION_ID=58236
HOSTNAME=ece015.ece.local.cmu.edu
SELINUX_ROLE_REQUESTED=
TERM=screen-256color
SHELL=/afs/ece.cmu.edu/usr/ngaertne/bin/zsh
HISTSIZE=1000
SSH_CLIENT=128.2.131.23 36818 22
SELINUX_USE_CURRENT_RANGE=
QTDIR=/usr/lib64/qt-3.3
OLDPWD=/afs/ece/usr/ngaertne/725/chipyard/.conda-env/lib/gcc/x86_64-conda-linux-gnu/12.1.0
QTINC=/usr/lib64/qt-3.3/include
SSH_TTY=/dev/pts/107
LC_ALL=en_US.UTF-8
QT_GRAPHICSSYSTEM_CHECKED=1
NO_PROXY=localhost,127.0.0.1,.cmu.edu,.cmu.local
http_proxy=http://proximus.ece.cmu.edu:3128/
USER=ngaertne
LD_LIBRARY_PATH=/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib:/afs/club.cc.cmu.edu/contrib/rhel79/lib:/afs/club.cc.cmu.edu/contrib/rhel79/lib64:
LS_COLORS=rs=0:di=01;34:ln=01;36:mh=00:pi=40;33:so=01;35:do=01;35:bd=40;33;01:cd=40;33;01:or=40;31;01:su=37;41:sg=30;43:ca=30;41:tw=30;42:ow=34;42:st=37;44:ex=01;32:*.tar=01;31:*.tgz=01;31:*.arc=01;31:*.arj=01;31:*.taz=01;31:*.lha=01;31:*.lz4=01;31:*.lzh=01;31:*.lzma=01;31:*.tlz=01;31:*.txz=01;31:*.tzo=01;31:*.t7z=01;31:*.zip=01;31:*.z=01;31:*.Z=01;31:*.dz=01;31:*.gz=01;31:*.lrz=01;31:*.lz=01;31:*.lzo=01;31:*.xz=01;31:*.bz2=01;31:*.bz=01;31:*.tbz=01;31:*.tbz2=01;31:*.tz=01;31:*.deb=01;31:*.rpm=01;31:*.jar=01;31:*.war=01;31:*.ear=01;31:*.sar=01;31:*.rar=01;31:*.alz=01;31:*.ace=01;31:*.zoo=01;31:*.cpio=01;31:*.7z=01;31:*.rz=01;31:*.cab=01;31:*.jpg=01;35:*.jpeg=01;35:*.gif=01;35:*.bmp=01;35:*.pbm=01;35:*.pgm=01;35:*.ppm=01;35:*.tga=01;35:*.xbm=01;35:*.xpm=01;35:*.tif=01;35:*.tiff=01;35:*.png=01;35:*.svg=01;35:*.svgz=01;35:*.mng=01;35:*.pcx=01;35:*.mov=01;35:*.mpg=01;35:*.mpeg=01;35:*.m2v=01;35:*.mkv=01;35:*.webm=01;35:*.ogm=01;35:*.mp4=01;35:*.m4v=01;35:*.mp4v=01;35:*.vob=01;35:*.qt=01;35:*.nuv=01;35:*.wmv=01;35:*.asf=01;35:*.rm=01;35:*.rmvb=01;35:*.flc=01;35:*.avi=01;35:*.fli=01;35:*.flv=01;35:*.gl=01;35:*.dl=01;35:*.xcf=01;35:*.xwd=01;35:*.yuv=01;35:*.cgm=01;35:*.emf=01;35:*.axv=01;35:*.anx=01;35:*.ogv=01;35:*.ogx=01;35:*.aac=00;36:*.au=00;36:*.flac=00;36:*.mid=00;36:*.midi=00;36:*.mka=00;36:*.mp3=00;36:*.mpc=00;36:*.ogg=00;36:*.ra=00;36:*.wav=00;36:*.axa=00;36:*.oga=00;36:*.spx=00;36:*.xspf=00;36:
FTP_PROXY=http://proximus.ece.cmu.edu:3128/
ftp_proxy=http://proximus.ece.cmu.edu:3128/
MAIL=/var/spool/mail/ngaertne
PATH=/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/bin:/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/bin:/afs/club.cc.cmu.edu/projects/stuco-open-eda/oss-cad-suite/bin:/afs/club.cc.cmu.edu/projects/stuco-open-eda/oss-cad-suite/py3bin:/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/bin:/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/software/firemarshal:/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/bin:/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin:/afs/ece.cmu.edu/usr/ngaertne/miniforge3/condabin:/afs/ece.cmu.edu/support/cds/share/image/usr/cds/genus-18.14.000/tools.lnx86/bin:/afs/ece.cmu.edu/support/cds/share/image/usr/cds/confrml-18.10.300/tools.lnx86/bin:/afs/ece.cmu.edu/support/cds/share/image/usr/cds/xcelium-22.03/tools.lnx86/bin:/afs/ece.cmu.edu/support/cds/share/image/usr/cds/innovus-19.10.000/tools.lnx86/bin:/afs/ece.cmu.edu/support/cds/share/image/usr/cds/ext-17.10.000/tools.lnx86/bin:/afs/ece.cmu.edu/support/cds/share/image/usr/cds/ssv-19.12.000/tools.lnx86/bin:/afs/ece/support/cds/share/image/usr/cds/ic-6.16.090/tools/bin:/afs/ece/support/cds/share/image/usr/cds/ic-6.16.090/tools/dfII/bin:/usr/cds/mmsim-14.10.527/tools/bin:/usr/cds/mmsim-14.10.527/tools/dfII/bin:/afs/ece/support/mgc/mgc.release/cal_2018.2_33.24/aoi_cal_2018.2_33.24/bin:/afs/ece/support/cds/share/image/usr/cds/pvs-16.15.000/bin:/afs/ece.cmu.edu/usr/ngaertne/.nvm/versions/node/v19.6.0/bin:/afs/ece/usr/ngaertne/.local/bin:/afs/ece/usr/ngaertne/bin/jdk-19.0.2+7/bin:/afs/ece.cmu.edu/usr/ngaertne/bin:/afs/ece.cmu.edu/usr/ngaertne/.local/share/zinit/polaris/bin:/afs/ece.cmu.edu/usr/ngaertne/.cargo/bin:/afs/club.cc.cmu.edu/contrib/rhel79/bin:/usr/lib64/qt-3.3/bin:/usr/local/bin:/usr/bin:/usr/local/sbin:/usr/sbin:/opt/puppetlabs/bin:/opt/dell/srvadmin/bin:/afs/ece.cmu.edu/usr/ngaertne/bin:/afs/ece.cmu.edu/class/ece240/bin:/afs/ece.cmu.edu/support/synopsys/synopsys.release/T-Foundation/vcs/T-2022.06/bin:/syn/T-2022.03-SP2/bin:/afs/ece/support/altera/release/16.1.2/quartus/bin:/usr/cds/assura-4.15.001-616/tools/assura/bin:/afs/club.cc.cmu.edu/projects/stuco-open-eda/bin
PWD=/afs/ece/usr/ngaertne/725/chipyard/.conda-env/lib/gcc/x86_64-conda-linux-gnu/12.1.0/include
LANG=en_US.UTF-8
MODULEPATH=/usr/share/Modules/modulefiles:/etc/modulefiles
LOADEDMODULES=
KDEDIRS=/usr
SELINUX_LEVEL_REQUESTED=
HTTPS_PROXY=http://proximus.ece.cmu.edu:3128/
https_proxy=http://proximus.ece.cmu.edu:3128/
SSH_ASKPASS=/usr/libexec/openssh/gnome-ssh-askpass
HISTCONTROL=ignoredups
KRB5CCNAME=KEYRING:persistent:2671647:krb_ccache_lI97CaO
SHLVL=1
HOME=/afs/ece.cmu.edu/usr/ngaertne
no_proxy=localhost,127.0.0.1,.cmu.edu,.cmu.local
HTTP_PROXY=http://proximus.ece.cmu.edu:3128/
LOGNAME=ngaertne
QTLIB=/usr/lib64/qt-3.3/lib
CVS_RSH=ssh
XDG_DATA_DIRS=/afs/ece.cmu.edu/usr/ngaertne/.local/share/flatpak/exports/share:/var/lib/flatpak/exports/share:/usr/local/share:/usr/share
SSH_CONNECTION=128.2.131.23 36818 172.19.138.17 22
MODULESHOME=/usr/share/Modules
LESSOPEN=||/usr/bin/lesspipe.sh %s
XDG_RUNTIME_DIR=/run/user/2671647
DISPLAY=localhost:10.0
QT_PLUGIN_PATH=/usr/lib64/kde4/plugins:/usr/lib/kde4/plugins
AKLOG=/usr/bin/aklog
BASH_FUNC_module()=() {  eval `/usr/bin/modulecmd bash $*`
}
P9K_TTY=old
_P9K_TTY=/dev/pts/107
ZPFX=/afs/ece.cmu.edu/usr/ngaertne/.local/share/zinit/polaris
ZSH_CACHE_DIR=/afs/ece.cmu.edu/usr/ngaertne/.cache/zinit
PMSPEC=0uUpiPsf
P9K_SSH=1
SSH_AUTH_SOCK=/tmp/ssh-0i3azVEr3vW8/agent.48132
SSH_AGENT_PID=48133
SDKMAN_DIR=/afs/ece.cmu.edu/usr/ngaertne/.sdkman
NVM_DIR=/afs/ece.cmu.edu/usr/ngaertne/.nvm
NVM_CD_FLAGS=-q
NVM_BIN=/afs/ece.cmu.edu/usr/ngaertne/.nvm/versions/node/v19.6.0/bin
NVM_INC=/afs/ece.cmu.edu/usr/ngaertne/.nvm/versions/node/v19.6.0/include/node
SYNOPSYS_HOME=/afs/ece.cmu.edu/support/synopsys/synopsys.release/T-Foundation
LM_LICENSE_FILE=/afs/ece.cmu.edu/support/synopsys/license.dat:5281@altera-lic.ece.cmu.edu:/usr/cds/share/license.dat:/afs/ece/support/mgc/license.dat
VCS_HOME=/afs/ece.cmu.edu/support/synopsys/synopsys.release/T-Foundation/vcs/T-2022.06
VCS_ARCH_OVERRIDE=linux
VCS_TARGET_ARCH=amd64
VCS_MODE_FLAG=64
VCS_LIC_EXPIRE_WARNING=0
SYN_DIR=/syn/T-2022.03-SP2
DC_HOME=/syn/T-2022.03-SP2/
QUARTUS_64BIT=1
GENUS_DIR=/afs/ece.cmu.edu/support/cds/share/image/usr/cds/genus-18.14.000/tools.lnx86
LEC_DIR=/afs/ece.cmu.edu/support/cds/share/image/usr/cds/confrml-18.10.300/tools.lnx86
SIM_DIR=/afs/ece.cmu.edu/support/cds/share/image/usr/cds/xcelium-22.03/tools.lnx86
INNOV_DIR=/afs/ece.cmu.edu/support/cds/share/image/usr/cds/innovus-19.10.000/tools.lnx86
QRC_DIR=/afs/ece.cmu.edu/support/cds/share/image/usr/cds/ext-17.10.000/tools.lnx86
VOLTUS_TEMPUS_DIR=/afs/ece.cmu.edu/support/cds/share/image/usr/cds/ssv-19.12.000/tools.lnx86
CDSHOME=/afs/ece/support/cds/share/image/usr/cds/ic-6.16.090
MMSIM=/usr/cds/mmsim-14.10.527
MGC_HOME=/afs/ece/support/mgc/mgc.release/cal_2018.2_33.24/aoi_cal_2018.2_33.24
PVS_DIR=/afs/ece/support/cds/share/image/usr/cds/pvs-16.15.000
ASSURAHOME=/usr/cds/assura-4.15.001-616
OA_UNSUPPORTED_PLAT=linux_rhel50_gcc44x
CDS_LIC_FILE=5280@cadence-lic.ece.cmu.edu
CDS_AUTO_64BIT=ALL
CDS_Netlisting_Mode=Analog
ECE725_CLASS=/afs/ece/class/ece725
ECE725_HOME=/afs/ece.cmu.edu/usr/ngaertne/725
PROCESS=gpdk045
CONDA_EXE=/afs/ece.cmu.edu/usr/ngaertne/miniforge3/bin/conda
_CE_M=
_CE_CONDA=
CONDA_PYTHON_EXE=/afs/ece.cmu.edu/usr/ngaertne/miniforge3/bin/python
CONDA_SHLVL=2
CONDA_PREFIX=/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env
CONDA_DEFAULT_ENV=/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env
CONDA_PROMPT_MODIFIER=(/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env)
CONDA_PREFIX_1=/afs/ece.cmu.edu/usr/ngaertne/miniforge3
CONDA_BACKUP_PATH=/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/bin:/afs/club.cc.cmu.edu/projects/stuco-open-eda/oss-cad-suite/bin:/afs/club.cc.cmu.edu/projects/stuco-open-eda/oss-cad-suite/py3bin:/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/bin:/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/software/firemarshal:/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/bin:/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin:/afs/ece.cmu.edu/usr/ngaertne/miniforge3/condabin:/afs/ece.cmu.edu/support/cds/share/image/usr/cds/genus-18.14.000/tools.lnx86/bin:/afs/ece.cmu.edu/support/cds/share/image/usr/cds/confrml-18.10.300/tools.lnx86/bin:/afs/ece.cmu.edu/support/cds/share/image/usr/cds/xcelium-22.03/tools.lnx86/bin:/afs/ece.cmu.edu/support/cds/share/image/usr/cds/innovus-19.10.000/tools.lnx86/bin:/afs/ece.cmu.edu/support/cds/share/image/usr/cds/ext-17.10.000/tools.lnx86/bin:/afs/ece.cmu.edu/support/cds/share/image/usr/cds/ssv-19.12.000/tools.lnx86/bin:/afs/ece/support/cds/share/image/usr/cds/ic-6.16.090/tools/bin:/afs/ece/support/cds/share/image/usr/cds/ic-6.16.090/tools/dfII/bin:/usr/cds/mmsim-14.10.527/tools/bin:/usr/cds/mmsim-14.10.527/tools/dfII/bin:/afs/ece/support/mgc/mgc.release/cal_2018.2_33.24/aoi_cal_2018.2_33.24/bin:/afs/ece/support/cds/share/image/usr/cds/pvs-16.15.000/bin:/afs/ece.cmu.edu/usr/ngaertne/.nvm/versions/node/v19.6.0/bin:/afs/ece/usr/ngaertne/.local/bin:/afs/ece/usr/ngaertne/bin/jdk-19.0.2+7/bin:/afs/ece.cmu.edu/usr/ngaertne/bin:/afs/ece.cmu.edu/usr/ngaertne/.local/share/zinit/polaris/bin:/afs/ece.cmu.edu/usr/ngaertne/.cargo/bin:/afs/club.cc.cmu.edu/contrib/rhel79/bin:/usr/lib64/qt-3.3/bin:/usr/local/bin:/usr/bin:/usr/local/sbin:/usr/sbin:/opt/puppetlabs/bin:/opt/dell/srvadmin/bin:/afs/ece.cmu.edu/usr/ngaertne/bin:/afs/ece.cmu.edu/class/ece240/bin:/afs/ece.cmu.edu/support/synopsys/synopsys.release/T-Foundation/vcs/T-2022.06/bin:/syn/T-2022.03-SP2/bin:/afs/ece/support/altera/release/16.1.2/quartus/bin:/usr/cds/assura-4.15.001-616/tools/assura/bin:/afs/club.cc.cmu.edu/projects/stuco-open-eda/bin
CONDA_BACKUP_LD_LIBRARY_PATH=/afs/club.cc.cmu.edu/contrib/rhel79/lib:/afs/club.cc.cmu.edu/contrib/rhel79/lib64:
VIRTUAL_ENV=OSS CAD Suite
PS1=%K{blue}%n@%m%k %B%F{green}%229<...<%~
%}%F{white} %# %b%f%k
VERILATOR_ROOT=/afs/club.cc.cmu.edu/projects/stuco-open-eda/oss-cad-suite/share/verilator
GHDL_PREFIX=/afs/club.cc.cmu.edu/projects/stuco-open-eda/oss-cad-suite/lib/ghdl
RISCV=/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools
GSETTINGS_SCHEMA_DIR_CONDA_BACKUP=
GSETTINGS_SCHEMA_DIR=/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/share/glib-2.0/schemas
JAVA_HOME_CONDA_BACKUP=
JAVA_HOME=/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/lib/jvm
JAVA_LD_LIBRARY_PATH_BACKUP=
JAVA_LD_LIBRARY_PATH=/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/lib/jvm/lib/server
_=/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/printenv
❯ conda list
packages in environment at /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env:
#
# Name                    Version                   Build  Channel
_libgcc_mutex             0.1                 conda_forge    conda-forge
_openmp_mutex             4.5                       2_gnu    conda-forge
_sysroot_linux-64_curr_repodata_hack 3                   h5bd9786_13    conda-forge
alabaster                 0.7.12                     py_0    conda-forge
alsa-lib                  1.2.7.2              h166bdaf_0    conda-forge
appdirs                   1.4.4              pyh9f0ad1d_0    conda-forge
argcomplete               1.12.3             pyhd8ed1ab_2    conda-forge
asn1crypto                1.5.1              pyhd8ed1ab_0    conda-forge
asttokens                 2.0.8                    pypi_0    pypi
atk-1.0                   2.36.0               h3371d22_4    conda-forge
attrs                     22.1.0             pyh71513ae_1    conda-forge
autoconf                  2.69            pl5321hd708f79_11    conda-forge
aws-sam-translator        1.52.0             pyhd8ed1ab_0    conda-forge
aws-xray-sdk              2.10.0             pyhd8ed1ab_0    conda-forge
awscli                    1.22.21          py39hf3d152e_0    conda-forge
babel                     2.10.3             pyhd8ed1ab_0    conda-forge
bash                      5.1.16               hd863ed2_0    conda-forge
bash-completion           2.11                          0    conda-forge
bc                        1.07.1               h7f98852_0    conda-forge
bcrypt                    4.0.0                    pypi_0    pypi
binutils                  2.36.1               hdd6e379_2    conda-forge
binutils_impl_linux-64    2.36.1               h193b22a_2    conda-forge
bison                     3.8                  h9c3ff4c_0    conda-forge
boto3                     1.20.21            pyhd8ed1ab_0    conda-forge
boto3-stubs               1.21.6             pyhd8ed1ab_0    conda-forge
botocore                  1.23.21            pyhd8ed1ab_0    conda-forge
botocore-stubs            1.24.6                   pypi_0    pypi
brotlipy                  0.7.0           py39hb9d737c_1004    conda-forge
bzip2                     1.0.8                h7f98852_4    conda-forge
c-ares                    1.18.1               h7f98852_0    conda-forge
ca-certificates           2022.12.7            ha878542_0    conda-forge
cachecontrol              0.12.11            pyhd8ed1ab_0    conda-forge
cachy                     0.3.0              pyhd8ed1ab_1    conda-forge
cairo                     1.16.0            ha61ee94_1014    conda-forge
certifi                   2022.12.7          pyhd8ed1ab_0    conda-forge
cffi                      1.15.1           py39he91dace_0    conda-forge
cfn-lint                  0.66.1             pyhd8ed1ab_0    conda-forge
charset-normalizer        2.1.1              pyhd8ed1ab_0    conda-forge
clang-format              15.0.1          default_h2e3cab8_0    conda-forge
clang-format-14           14.0.6          default_h2e3cab8_0    conda-forge
clang-format-15           15.0.1          default_h2e3cab8_0    conda-forge
clang-tools               15.0.1          default_h2e3cab8_0    conda-forge
cleo                      0.8.1              pyhd8ed1ab_2    conda-forge
click                     8.1.3            py39hf3d152e_0    conda-forge
click-default-group       1.2.2              pyhd8ed1ab_1    conda-forge
clikit                    0.6.2              pyh9f0ad1d_0    conda-forge
cloudpickle               2.2.0              pyhd8ed1ab_0    conda-forge
cmake                     3.24.2               h5432695_0    conda-forge
colorama                  0.4.3                      py_0    conda-forge
conda                     22.9.0           py39hf3d152e_1    conda-forge
conda-gcc-specs           12.1.0              h559a835_16    conda-forge
conda-lock                1.1.1              pyhd8ed1ab_0    conda-forge
conda-package-handling    1.9.0            py39hb9d737c_0    conda-forge
conda-standalone          4.12.0               ha770c72_0    conda-forge
conda-tree                1.0.5              pyhd8ed1ab_0    conda-forge
constructor               3.3.1            py39hf3d152e_0    conda-forge
coreutils                 9.1                  h166bdaf_0    conda-forge
crashtest                 0.3.1              pyhd8ed1ab_0    conda-forge
cryptography              38.0.1           py39hd97740a_0    conda-forge
ctags                     5.8               h14c3975_1000    conda-forge
curl                      7.85.0               h7bff187_0    conda-forge
cycler                    0.11.0             pyhd8ed1ab_0    conda-forge
dbus                      1.13.6               h5008d03_3    conda-forge
diffutils                 3.8                  h1869db9_1    conda-forge
distlib                   0.3.5              pyhd8ed1ab_0    conda-forge
docker-py                 5.0.3            py39hf3d152e_2    conda-forge
docker-pycreds            0.4.0                      py_0    conda-forge
docutils                  0.15.2           py39hf3d152e_5    conda-forge
doit                      0.35.0           py39hf3d152e_0    conda-forge
dromajo                   1.0.0           0_h1234567_g6a6e34e    ucb-bar
dtc                       1.6.1                h166bdaf_1    conda-forge
e2fsprogs                 1.46.2               h166bdaf_0    conda-forge
e2fsprogs-libs            1.46.2               h166bdaf_0    conda-forge
ecdsa                     0.18.0             pyhd8ed1ab_1    conda-forge
elfutils                  0.187                h989201e_0    conda-forge
ensureconda               1.4.3              pyhd8ed1ab_0    conda-forge
expat                     2.4.9                h27087fc_0    conda-forge
expect                    5.45.4               h555a92e_0    conda-forge
fab-classic               1.19.1                   pypi_0    pypi
file                      5.39                 h753d276_1    conda-forge
filelock                  3.8.0              pyhd8ed1ab_0    conda-forge
findutils                 4.6.0             h7f98852_1001    conda-forge
flask                     2.2.2              pyhd8ed1ab_0    conda-forge
flask_cors                3.0.10             pyhd3deb0d_0    conda-forge
flex                      2.6.4             h58526e2_1004    conda-forge
font-ttf-dejavu-sans-mono 2.37                 hab24e00_0    conda-forge
font-ttf-inconsolata      3.000                h77eed37_0    conda-forge
font-ttf-source-code-pro  2.038                h77eed37_0    conda-forge
font-ttf-ubuntu           0.83                 hab24e00_0    conda-forge
fontconfig                2.14.0               hc2a2eb6_1    conda-forge
fonts-conda-ecosystem     1                             0    conda-forge
fonts-conda-forge         1                             0    conda-forge
freetype                  2.12.1               hca18f0e_0    conda-forge
fribidi                   1.0.10               h36c2ea0_0    conda-forge
gcc                       12.1.0              h9ea6d83_10    conda-forge
gcc_impl_linux-64         12.1.0              hea43390_16    conda-forge
gdk-pixbuf                2.42.8               hff1cb4f_1    conda-forge
gengetopt                 2.23                 h9c3ff4c_0    conda-forge
gettext                   0.19.8.1          h27087fc_1009    conda-forge
giflib                    5.2.1                h36c2ea0_2    conda-forge
git                       2.38.0          pl5321h5fbbf19_0    conda-forge
gitdb                     4.0.9              pyhd8ed1ab_0    conda-forge
gitpython                 3.1.28             pyhd8ed1ab_0    conda-forge
gmp                       6.2.1                h58526e2_0    conda-forge
gmpy2                     2.1.2            py39h78fa15d_0    conda-forge
gnutls                    3.7.8                hf3e180e_0    conda-forge
graphite2                 1.3.13            h58526e2_1001    conda-forge
graphql-core              3.2.3              pyhd8ed1ab_0    conda-forge
graphviz                  6.0.1                h5abf519_0    conda-forge
gtk2                      2.24.33              h90689f9_2    conda-forge
gts                       0.7.6                h64030ff_2    conda-forge
gxx                       12.1.0              h9ea6d83_10    conda-forge
gxx_impl_linux-64         12.1.0              hea43390_16    conda-forge
gzip                      1.12                 h166bdaf_0    conda-forge
harfbuzz                  5.2.0                hf9f4e7c_0    conda-forge
html5lib                  1.1                pyh9f0ad1d_0    conda-forge
humanfriendly             10.0             py39hf3d152e_2    conda-forge
icontract                 2.6.2                    pypi_0    pypi
icu                       70.1                 h27087fc_0    conda-forge
idna                      3.4                pyhd8ed1ab_0    conda-forge
imagesize                 1.4.1              pyhd8ed1ab_0    conda-forge
importlib-metadata        4.11.4           py39hf3d152e_0    conda-forge
importlib_metadata        4.11.4               hd8ed1ab_0    conda-forge
importlib_resources       3.3.1              pyhd8ed1ab_1    conda-forge
iniconfig                 1.1.1              pyh9f0ad1d_0    conda-forge
itsdangerous              2.1.2              pyhd8ed1ab_0    conda-forge
jaraco.classes            3.2.2              pyhd8ed1ab_0    conda-forge
jeepney                   0.8.0              pyhd8ed1ab_0    conda-forge
jinja2                    3.1.2              pyhd8ed1ab_1    conda-forge
jmespath                  0.10.0             pyh9f0ad1d_0    conda-forge
jpeg                      9e                   h166bdaf_2    conda-forge
jschema-to-python         1.2.3              pyhd8ed1ab_0    conda-forge
jsondiff                  2.0.0              pyhd8ed1ab_0    conda-forge
jsonpatch                 1.32               pyhd8ed1ab_0    conda-forge
jsonpickle                2.2.0              pyhd8ed1ab_0    conda-forge
jsonpointer               2.0                        py_0    conda-forge
jsonschema                3.2.0              pyhd8ed1ab_3    conda-forge
junit-xml                 1.9                pyh9f0ad1d_0    conda-forge
kernel-headers_linux-64   3.10.0              h4a8ded7_13    conda-forge
keyring                   23.9.3           py39hf3d152e_0    conda-forge
keyutils                  1.6.1                h166bdaf_0    conda-forge
kiwisolver                1.3.1            py39h1a9c180_1    conda-forge
krb5                      1.19.3               h3790be6_0    conda-forge
lcms2                     2.12                 hddcbb42_0    conda-forge
ld_impl_linux-64          2.36.1               hea4e1c9_2    conda-forge
lerc                      4.0.0                h27087fc_0    conda-forge
libarchive                3.5.2                hb890918_3    conda-forge
libblas                   3.9.0           16_linux64_openblas    conda-forge
libcblas                  3.9.0           16_linux64_openblas    conda-forge
libclang                  15.0.1          default_h2e3cab8_0    conda-forge
libclang-cpp14            14.0.6          default_h2e3cab8_0    conda-forge
libclang-cpp15            15.0.1          default_h2e3cab8_0    conda-forge
libclang13                15.0.1          default_h3a83d3e_0    conda-forge
libcups                   2.3.3                h3e49a29_2    conda-forge
libcurl                   7.85.0               h7bff187_0    conda-forge
libdeflate                1.14                 h166bdaf_0    conda-forge
libdwarf                  0.0.0.20190110_28_ga81397fc4      h753d276_0    ucb-bar
libdwarf-dev              0.0.0.20190110_28_ga81397fc4      h753d276_0    ucb-bar
libedit                   3.1.20191231         he28a2e2_2    conda-forge
libev                     4.33                 h516909a_1    conda-forge
libfdt                    1.6.1                h166bdaf_1    conda-forge
libffi                    3.4.2                h7f98852_5    conda-forge
libgcc-devel_linux-64     12.1.0              h1ec3361_16    conda-forge
libgcc-ng                 12.1.0              h8d9b700_16    conda-forge
libgd                     2.3.3                h18fbbfe_3    conda-forge
libgfortran-ng            12.1.0              h69a702a_16    conda-forge
libgfortran5              12.1.0              hdcd56e2_16    conda-forge
libglib                   2.74.0               h7a41b64_0    conda-forge
libgomp                   12.1.0              h8d9b700_16    conda-forge
libiconv                  1.17                 h166bdaf_0    conda-forge
libidn2                   2.3.3                h166bdaf_0    conda-forge
liblapack                 3.9.0           16_linux64_openblas    conda-forge
libllvm14                 14.0.6               he0ac6c6_0    conda-forge
libllvm15                 15.0.2               h503ea73_0    conda-forge
libmagic                  5.39                 h753d276_1    conda-forge
libmicrohttpd             0.9.75               h2603550_1    conda-forge
libnghttp2                1.47.0               hdcd2b5c_1    conda-forge
libnsl                    2.0.0                h7f98852_0    conda-forge
libopenblas               0.3.21          pthreads_h78a6416_3    conda-forge
libpng                    1.6.38               h753d276_0    conda-forge
libprotobuf               3.21.7               h6239696_0    conda-forge
librsvg                   2.54.4               h7abd40a_0    conda-forge
libsanitizer              12.1.0              ha89aaad_16    conda-forge
libsqlite                 3.39.4               h753d276_0    conda-forge
libssh2                   1.10.0               haa6b8db_3    conda-forge
libstdcxx-devel_linux-64  12.1.0              h1ec3361_16    conda-forge
libstdcxx-ng              12.1.0              ha89aaad_16    conda-forge
libtasn1                  4.19.0               h166bdaf_0    conda-forge
libtiff                   4.4.0                h55922b4_4    conda-forge
libtool                   2.4.6             h9c3ff4c_1008    conda-forge
libunistring              0.9.10               h7f98852_0    conda-forge
libusb1                   2.0.1              pyhd8ed1ab_0    conda-forge
libuuid                   2.32.1            h7f98852_1000    conda-forge
libuv                     1.44.2               h166bdaf_0    conda-forge
libwebp                   1.2.4                h522a892_0    conda-forge
libwebp-base              1.2.4                h166bdaf_0    conda-forge
libxcb                    1.13              h7f98852_1004    conda-forge
libxml2                   2.9.14               h22db469_4    conda-forge
libzlib                   1.2.12               h166bdaf_4    conda-forge
livereload                2.6.3              pyh9f0ad1d_0    conda-forge
lockfile                  0.12.2                     py_1    conda-forge
lz4-c                     1.9.3                h9c3ff4c_1    conda-forge
lzo                       2.10              h516909a_1000    conda-forge
lzop                      1.04                 h3753786_2    conda-forge
m4                        1.4.18            h516909a_1001    conda-forge
make                      4.3                  hd18ef5c_1    conda-forge
markupsafe                2.1.1            py39hb9d737c_1    conda-forge
matplotlib-base           3.3.4            py39h2fa2bec_0    conda-forge
mock                      4.0.3                    pypi_0    pypi
more-itertools            8.14.0             pyhd8ed1ab_0    conda-forge
mosh                      1.3.2           pl5321h4981305_1013    conda-forge
moto                      3.1.0              pyhd8ed1ab_0    conda-forge
mpc                       1.2.1                h9f54685_0    conda-forge
mpfr                      4.1.0                h9202a9a_1    conda-forge
msgpack-python            1.0.4            py39hf939315_0    conda-forge
mypy                      0.931            py39h3811e60_2    conda-forge
mypy-boto3-ec2            1.21.9                   pypi_0    pypi
mypy-boto3-s3             1.21.0             pyhd8ed1ab_0    conda-forge
mypy_extensions           0.4.3            py39hf3d152e_5    conda-forge
ncurses                   6.3                  h27087fc_1    conda-forge
nettle                    3.8.1                hc379101_1    conda-forge
networkx                  2.8.7              pyhd8ed1ab_0    conda-forge
numpy                     1.19.5           py39hd249d9e_3    conda-forge
openjdk                   17.0.3               h85293d2_2    conda-forge
openjpeg                  2.5.0                h7d73246_1    conda-forge
openssl                   1.1.1t               h0b41bf4_0    conda-forge
p11-kit                   0.24.1               hc5aa10d_0    conda-forge
packaging                 20.9               pyh44b312d_0    conda-forge
pandas                    1.1.5            py39hde0f152_0    conda-forge
pango                     1.50.11              h382ae3d_0    conda-forge
paramiko-ng               2.8.10                   pypi_0    pypi
pastel                    0.2.1              pyhd8ed1ab_0    conda-forge
patch                     2.7.6             h7f98852_1002    conda-forge
pbr                       5.10.0             pyhd8ed1ab_0    conda-forge
pcre                      8.45                 h9c3ff4c_0    conda-forge
pcre2                     10.37                hc3806b6_1    conda-forge
perl                      5.32.1          2_h7f98852_perl5    conda-forge
pexpect                   4.8.0              pyh9f0ad1d_2    conda-forge
pillow                    9.2.0            py39hd5dbb17_2    conda-forge
pip                       22.2.2             pyhd8ed1ab_0    conda-forge
pixman                    0.40.0               h36c2ea0_0    conda-forge
pkginfo                   1.8.3              pyhd8ed1ab_0    conda-forge
platformdirs              2.5.2              pyhd8ed1ab_1    conda-forge
pluggy                    1.0.0            py39hf3d152e_3    conda-forge
poetry                    1.1.15           py39hf3d152e_0    conda-forge
poetry-core               1.0.8            py39hf3d152e_1    conda-forge
popt                      1.16              h0b475e3_2002    conda-forge
psutil                    5.9.2            py39hb9d737c_0    conda-forge
pthread-stubs             0.4               h36c2ea0_1001    conda-forge
ptyprocess                0.7.0              pyhd3deb0d_0    conda-forge
py                        1.11.0             pyh6c4a22f_0    conda-forge
pyasn1                    0.4.8                      py_0    conda-forge
pycosat                   0.6.3           py39hb9d737c_1010    conda-forge
pycparser                 2.21               pyhd8ed1ab_0    conda-forge
pydantic                  1.10.2           py39hb9d737c_0    conda-forge
pygments                  2.13.0             pyhd8ed1ab_0    conda-forge
pyinotify                 0.9.6           py39hf3d152e_1005    conda-forge
pylddwrap                 1.2.1                    pypi_0    pypi
pylev                     1.4.0              pyhd8ed1ab_0    conda-forge
pyopenssl                 22.0.0             pyhd8ed1ab_1    conda-forge
pyparsing                 3.0.6              pyhd8ed1ab_0    conda-forge
pyrsistent                0.18.1           py39hb9d737c_1    conda-forge
pysocks                   1.7.1              pyha2e5f31_6    conda-forge
pytest                    6.2.5            py39hf3d152e_3    conda-forge
pytest-dependency         0.5.1              pyh9f0ad1d_0    conda-forge
pytest-mock               3.7.0              pyhd8ed1ab_1    conda-forge
python                    3.9.13          h9a8a25e_0_cpython    conda-forge
python-dateutil           2.8.2              pyhd8ed1ab_0    conda-forge
python-graphviz           0.19               pyhaef67bd_0    conda-forge
python-jose               3.3.0              pyh6c4a22f_1    conda-forge
python_abi                3.9                      2_cp39    conda-forge
pytz                      2022.4             pyhd8ed1ab_0    conda-forge
pyyaml                    5.4.1            py39hb9d737c_3    conda-forge
qemu                      5.0.0                hb15d774_0    ucb-bar
readline                  8.1.2                h0f457ee_0    conda-forge
requests                  2.28.1             pyhd8ed1ab_1    conda-forge
requests-toolbelt         0.9.1                      py_0    conda-forge
responses                 0.21.0             pyhd8ed1ab_0    conda-forge
rhash                     1.4.3                h166bdaf_0    conda-forge
riscv-tools               1.0.1           0_h1234567_gdcdbcaf    ucb-bar
rsa                       4.7.2              pyh44b312d_0    conda-forge
rsync                     3.2.6                h220164a_0    conda-forge
ruamel.yaml               0.17.21          py39hb9d737c_1    conda-forge
ruamel.yaml.clib          0.2.6            py39hb9d737c_1    conda-forge
ruamel_yaml               0.15.80         py39hb9d737c_1007    conda-forge
s3transfer                0.5.2              pyhd8ed1ab_0    conda-forge
sarif-om                  1.0.4              pyhd8ed1ab_0    conda-forge
sbt                       1.7.2                hd8ed1ab_0    conda-forge
screen                    4.8.0                he28a2e2_0    conda-forge
secretstorage             3.3.3            py39hf3d152e_0    conda-forge
sed                       4.8                  he412f7d_0    conda-forge
setuptools                59.8.0           py39hf3d152e_1    conda-forge
shellingham               1.5.0              pyhd8ed1ab_0    conda-forge
six                       1.16.0             pyh6c4a22f_0    conda-forge
smmap                     3.0.5              pyh44b312d_0    conda-forge
snowballstemmer           2.2.0              pyhd8ed1ab_0    conda-forge
sphinx                    5.1.1              pyhd8ed1ab_1    conda-forge
sphinx-autobuild          2021.3.14          pyhd8ed1ab_0    conda-forge
sphinx_rtd_theme          1.0.0              pyhd8ed1ab_0    conda-forge
sphinxcontrib-applehelp   1.0.2                      py_0    conda-forge
sphinxcontrib-devhelp     1.0.2                      py_0    conda-forge
sphinxcontrib-htmlhelp    2.0.0              pyhd8ed1ab_0    conda-forge
sphinxcontrib-jsmath      1.0.1                      py_0    conda-forge
sphinxcontrib-qthelp      1.0.3                      py_0    conda-forge
sphinxcontrib-serializinghtml 1.1.5              pyhd8ed1ab_2    conda-forge
sqlite                    3.39.4               h4ff8645_0    conda-forge
sshpubkeys                3.3.1              pyhd8ed1ab_0    conda-forge
sure                      2.0.0                    pypi_0    pypi
sysroot_linux-64          2.17                h4a8ded7_13    conda-forge
tar                       1.34                 ha1f6473_0    conda-forge
tk                        8.6.12               h27826a3_0    conda-forge
toml                      0.10.2             pyhd8ed1ab_0    conda-forge
tomli                     2.0.1              pyhd8ed1ab_0    conda-forge
tomlkit                   0.11.5             pyha770c72_0    conda-forge
toolz                     0.12.0             pyhd8ed1ab_0    conda-forge
tornado                   6.2              py39hb9d737c_0    conda-forge
tqdm                      4.64.1             pyhd8ed1ab_0    conda-forge
types-pyyaml              6.0.4              pyhd8ed1ab_0    conda-forge
typing                    3.10.0.0           pyhd8ed1ab_0    conda-forge
typing-extensions         4.4.0                hd8ed1ab_0    conda-forge
typing_extensions         4.4.0              pyha770c72_0    conda-forge
tzdata                    2022d                h191b570_0    conda-forge
unzip                     6.0                  h7f98852_3    conda-forge
urllib3                   1.26.11            pyhd8ed1ab_0    conda-forge
util-linux                2.36             py39h28948ff_1    conda-forge
verilator                 4.226                he0ac6c6_1    conda-forge
vim                       9.0.0335        py39pl5321h20e6244_0    conda-forge
virtualenv                20.16.5          py39hf3d152e_0    conda-forge
webencodings              0.5.1                      py_1    conda-forge
websocket-client          1.4.1              pyhd8ed1ab_0    conda-forge
werkzeug                  2.2.2              pyhd8ed1ab_0    conda-forge
wget                      1.20.3               ha56f1ee_1    conda-forge
wheel                     0.37.1             pyhd8ed1ab_0    conda-forge
which                     2.21                 h516909a_0    conda-forge
wrapt                     1.14.1           py39hb9d737c_0    conda-forge
xmltodict                 0.13.0             pyhd8ed1ab_0    conda-forge
xorg-fixesproto           5.0               h7f98852_1002    conda-forge
xorg-inputproto           2.3.2             h7f98852_1002    conda-forge
xorg-kbproto              1.0.7             h7f98852_1002    conda-forge
xorg-libice               1.0.10               h7f98852_0    conda-forge
xorg-libsm                1.2.3             hd9c2040_1000    conda-forge
xorg-libx11               1.7.2                h7f98852_0    conda-forge
xorg-libxau               1.0.9                h7f98852_0    conda-forge
xorg-libxdmcp             1.1.3                h7f98852_0    conda-forge
xorg-libxext              1.3.4                h7f98852_1    conda-forge
xorg-libxfixes            5.0.3             h7f98852_1004    conda-forge
xorg-libxi                1.7.10               h7f98852_0    conda-forge
xorg-libxrender           0.9.10            h7f98852_1003    conda-forge
xorg-libxtst              1.2.3             h7f98852_1002    conda-forge
xorg-recordproto          1.14.2            h7f98852_1002    conda-forge
xorg-renderproto          0.11.1            h7f98852_1002    conda-forge
xorg-xextproto            7.3.0             h7f98852_1002    conda-forge
xorg-xproto               7.0.31            h7f98852_1007    conda-forge
xxhash                    0.8.0                h7f98852_3    conda-forge
xz                        5.2.6                h166bdaf_0    conda-forge
yaml                      0.2.5                h7f98852_2    conda-forge
zipp                      3.8.1              pyhd8ed1ab_0    conda-forge
zlib                      1.2.12               h166bdaf_4    conda-forge
zstd                      1.5.2                h6239696_4    conda-forge

Other Setup

Attempted to reinstall gcc toolchain following these https://github.com/ucb-bar/riscv-tools-feedstock directions - appeared to not do anything? Also removed chipyard entirely, reinstalled, and tried again, which also didn't work.

Current Behavior

Chipyard successfully generates verilog RTL, then goes into the vcs simulation script and appears to get most of the way through the simulation setup process before attempting to create executables (presumably using the riscv gcc compiler? not entirely certain if it's making riscv executables to run on the simulated chip or making x86_64 executables to run on the host machine) and then exiting because ld fails to find -lnuma and exits with status 1, which causes the make run (run by make CONFIG=TapeoutDoubleMedConfig) to exit with status 2.

Expected Behavior

Expected behavior is for ld to find -lnuma and therefore not fail.

Other Information

error:

/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/../lib/gcc/x86_64-conda-linux-gnu/12.1.0/../../../../x86_64-conda-linux-gnu/bin/ld: cannot find -lnuma
collect2: error: ld returned 1 exit status
make[1]: *** [Makefile:118: product_timestamp] Error 1
make[1]: Leaving directory '/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig/chipyard.TestHarness.TapeoutDoubleMedConfig'
Make exited with status 2
make: *** [Makefile:72: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/simv-chipyard-TapeoutDoubleMedConfig] Error 2

config:

class TapeoutDoubleMedConfig extends Config(
  new freechips.rocketchip.subsystem.WithNMedCores(2) ++             // two medium rocket-cores
  new freechips.rocketchip.subsystem.WithNBanks(2) ++                // two cache banks
  new ChaCha.withChaCha ++                                                              //add custom accelerator
  new freechips.rocketchip.subsystem.WithCoherentBusTopology ++     // coherent bus
  new testchipip.WithRingSystemBus ++                               // Ring-topology system bus
//  new freechips.rocketchip.subsystem.WithNoMemPort ++ // no external memory port
//  new testchipip.WithBackingScratchpad ++                   // add mbus backing scratchpad
  new chipyard.config.AbstractConfig)
noahgaertner commented 1 year ago

numactl-libs-2.0.12-5.el7.x86_64, numactl-devel-2.0.12-5.el7.x86_64, and numad-0.5-18.20150602git.el7.x86_64 are installed on the host machine as well, so I don't think numa not being installed at all is the issue either (assuming it's looking for a global version it's expecting to be there and not a local version that just wasn't installed for some reason).

jerryz123 commented 1 year ago

The error looks like it's happening when VCS is calling GCC to link together an executable. My suspicion is that the conda sysroot iacks libnuma, while VCS expects it to be present.

You are on a newer VCS than what we are using. In the documentation for VCS, can you find any reference to libnuma? I wonder if there is a way to prevent VCS from attempting to link against it.

noahgaertner commented 1 year ago

I’ll look into it, but what version of vcs are you using? Our servers have like 12 installed, I can just use another one if that option doesn’t exist and we have it installed.

noahgaertner commented 1 year ago

Switching to vcs-mx_vK-2015.09 seems to have worked, but now it's throwing a different error related to -fPIE not being enabled:

c++ -w  -fPIC -std=c++11 -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/include -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2 -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig -O3 -I/afs/ece.cmu.edu/support/synopsys/synopsys.release/vcs-mx_vK-2015.09/include    -c /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig/uart.cc
cc1: warning: command-line option '-std=c++11' is valid for C++/ObjC++ but not for C
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/../lib/gcc/x86_64-conda-linux-gnu/12.1.0/../../../../x86_64-conda-linux-gnu/bin/ld: warning: libncurses.so.5, needed by /afs/ece.cmu.edu/support/synopsys/synopsys.release/vcs-mx_vK-2015.09/linux64/lib/libuclinative.so, not found (try using -rpath or -rpath-link)
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/../lib/gcc/x86_64-conda-linux-gnu/12.1.0/../../../../x86_64-conda-linux-gnu/bin/ld: /afs/ece.cmu.edu/support/synopsys/synopsys.release/vcs-mx_vK-2015.09/linux64/lib/vcs_save_restore_new.o: relocation R_X86_64_32S against `.text' can not be used when making a PIE object; recompile with -fPIE
collect2: error: ld returned 1 exit status
make[1]: *** [Makefile:98: product_timestamp] Error 1
make[1]: Leaving directory '/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig/chipyard.TestHarness.TapeoutDoubleMedConfig'
Make exited with status 2
make: *** [Makefile:72: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/simv-chipyard-TapeoutDoubleMedConfig] Error 2

1011 seems to be mentioning a similar issue, but that solution didn't work for me - our machines don't have gcc-5 or gcc-6 installed, and neither are installed by chipyard (also, chipyard installs gcc and g++, so I feel like those versions should like... work)

I also tried adding -fPIE in sims/common-sim-flags.mk in both the SIM_CXXFLAGS and SIM_LDFLAGS variable sets and neither of those worked either, so I'm not sure what the issue is there

Let me know if this should be a new issue - I'm not sure if it's related or not to the other gcc issue.

noahgaertner commented 1 year ago

Also saw https://github.com/chipsalliance/rocket-chip/issues/1377, which suggests gcc-4.8 - We do have that, and it just... explodes the log - I'm not actually sure what the issue is here - it looks like the C++ code is just wrong?

❯ make CONFIG=TapeoutDoubleMedConfig
Running with RISCV=/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools
rm -rf /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig/chipyard.TestHarness.TapeoutDoubleMedConfig
vcs -full64 -cpp /usr/bin/g++ -cc /usr/bin/gcc -CFLAGS " -O3 -std=c++11 -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/include -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2 -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig " -LDFLAGS "-L/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib -Wl,-rpath,/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib -L/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs -L/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2" -lfesvr -ldramsim -notice -line +lint=all,noVCDE,noONGS,noUI -error=PCWM-L -error=noZMMCM -timescale=1ns/10ps -quiet -q +rad +vcs+lic+wait +vc+list -f /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig/sim_files.common.f -sverilog +systemverilogext+.sv+.svi+.svh+.svt -assert svaext +libext+.sv +v2k +verilog2001ext+.v95+.vt+.vp +libext+.v -debug_pp +incdir+/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig/chipyard.TestHarness.TapeoutDoubleMedConfig.top.v /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig/chipyard.TestHarness.TapeoutDoubleMedConfig.harness.v /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig/chipyard.TestHarness.TapeoutDoubleMedConfig.top.mems.v /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig/chipyard.TestHarness.TapeoutDoubleMedConfig.harness.mems.v +define+VCS +define+CLOCK_PERIOD=1.0 +define+RESET_DELAY=777.7 +define+PRINTF_COND=TestDriver.printf_cond +define+STOP_COND=!TestDriver.reset +define+MODEL=TestHarness +define+RANDOMIZE_MEM_INIT +define+RANDOMIZE_REG_INIT +define+RANDOMIZE_GARBAGE_ASSIGN +define+RANDOMIZE_INVALID_ASSIGN +define+FSDB  -o /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/simv-chipyard-TapeoutDoubleMedConfig -Mdir=/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig/chipyard.TestHarness.TapeoutDoubleMedConfig
234 modules and 14 UDPs read.
Generating code for _VCSgd_B3D0s
Generating code for _VCSgd_reYIK
Generating code for _VCSgd_TqLIZ
Generating code for _VCSgd_TykGD
Generating code for _VCSgd_r6AeS
Generating code for _VCSgd_ZZgEu
Generating code for _VCSgd_WB1J1
Generating code for _VCSgd_NKIiI
Generating code for _VCSgd_LSQDD
Generating code for _VCSgd_v190n
Generating code for _VCSgd_ZrfTa
Generating code for _VCSgd_IVz0R
Generating code for _VCSgd_qW2V4
Generating code for _VCSgd_gKdQ7
Generating code for _VCSgd_wk8Rj
Generating code for _VCSgd_xHIqC
Generating code for _VCSgd_Qr2mQ
Generating code for _VCSgd_A9acY
Generating code for _VCSgd_G51V8
Generating code for _VCSgd_GEmJe
Generating code for _VCSgd_SydhY
Generating code for _VCSgd_f6fpd
Generating code for _VCSgd_U2duu
Generating code for _VCSgd_G5D9s
Generating code for _VCSgd_jrwqy
Generating code for _VCSgd_uAhtB
Generating code for _VCSgd_ciB4Z
Generating code for _VCSgd_D1AUt
Generating code for _VCSgd_btvuP
Generating code for _VCSgd_CmqZi
Generating code for _VCSgd_q5N8I
Generating code for _VCSgd_M10kB
Generating code for _VCSgd_UDVfe
Generating code for _VCSgd_B5NBs
Generating code for _VCSgd_zwSxT
Generating code for _VCSgd_YrSMD
Generating code for _VCSgd_LjkNG
Generating code for _VCSgd_quKip
Generating code for _VCSgd_sEmMJ
Generating code for _VCSgd_r7xua
Generating code for _VCSgd_TKKU8
Generating code for _VCSgd_kzdF0
Generating code for _VCSgd_TQLWP
Generating code for _VCSgd_JTzV3
Generating code for _VCSgd_kQ8Gv
Generating code for _VCSgd_ShsuT
Generating code for _VCSgd_GFDEs
Generating code for _VCSgd_Y32M0
Generating code for _VCSgd_HzqsM
Generating code for _VCSgd_MJipY
Generating code for _VCSgd_rG3pi
Generating code for _VCSgd_e8FM0
Generating code for _VCSgd_jRpyI
Generating code for _VCSgd_xRQvs
Generating code for _VCSgd_qtdnH
Generating code for _VCSgd_fjWz2
Generating code for _VCSgd_Rzena
Generating code for _VCSgd_cyMQa
Generating code for _VCSgd_BbqA7
Generating code for _VCSgd_AFz9Z
Generating code for _VCSgd_uQZHk
Generating code for _VCSgd_TRn6G
Generating code for _VCSgd_x1eQk
Generating code for _VCSgd_p6fji
Generating code for _VCSgd_ugbxh
Generating code for _VCSgd_kFtjY
Generating code for _VCSgd_tywyS
Generating code for _VCSgd_sgc7u
Generating code for _VCSgd_JvyRq
Generating code for _VCSgd_CRM9f
Generating code for _VCSgd_QdEW7
Generating code for _VCSgd_wtBp9
Generating code for _VCSgd_i3FJV
Generating code for _VCSgd_f9Rns
Generating code for _VCSgd_iDcwa
Generating code for _VCSgd_M6aiZ
Generating code for _VCSgd_j2EI0
Generating code for _VCSgd_QL0bP
Generating code for _VCSgd_GDGIh
Generating code for _VCSgd_uAGG4
Generating code for _VCSgd_hxjzQ
Generating code for _VCSgd_RZNH3
Generating code for _VCSgd_hxB5H
Generating code for _VCSgd_CdpQ2
Generating code for _VCSgd_e43T8
Generating code for _VCSgd_P4Sv8
Generating code for _VCSgd_q9inp
Generating code for _VCSgd_r6TG4
Generating code for _VCSgd_PwEa1
Generating code for _VCSgd_RqFWA
Generating code for _VCSgd_WSahb
Generating code for _VCSgd_zcImt
Generating code for _VCSgd_xcc8e
Generating code for _VCSgd_cWUVA
Generating code for _VCSgd_FnP5n
Generating code for _VCSgd_nLeuq
Generating code for _VCSgd_VgkSL
Generating code for _VCSgd_bc2BI
Generating code for _VCSgd_Nam3V
Generating code for _VCSgd_d8WY0
Generating code for _VCSgd_CDSPT
Generating code for _VCSgd_ZPgHt
Generating code for _VCSgd_aCZWM
Generating code for _VCSgd_spmcr
Generating code for _VCSgd_mCdpM
Generating code for _VCSgd_ycSIZ
Generating code for _VCSgd_wVMYL
Generating code for _VCSgd_jmjbF
Generating code for _VCSgd_dwGdg
Generating code for _VCSgd_Bkdt3
Generating code for _VCSgd_N6t4e
Generating code for _VCSgd_Nwhgx
Generating code for _VCSgd_N1Z3T
Generating code for _VCSgd_U5x4V
Generating code for _VCSgd_HTun2
Generating code for _VCSgd_it17I
Generating code for _VCSgd_YCUdG
Generating code for _VCSgd_Y3DuF
Generating code for _VCSgd_E1LsQ
Generating code for _VCSgd_aaMQi
Generating code for _VCSgd_nP9fg
Generating code for _VCSgd_uJQu1
Generating code for _VCSgd_NsZAn
Generating code for _VCSgd_qMBxW
Generating code for _VCSgd_g4DSG
Generating code for _VCSgd_kknyu
Generating code for _VCSgd_WI0pS
Generating code for _VCSgd_gia75
Generating code for _VCSgd_w8HM3
Generating code for _VCSgd_q2IzZ
Generating code for _VCSgd_sbnA2
Generating code for _VCSgd_dwde7
Generating code for _VCSgd_bAxDw
Generating code for _VCSgd_yy1tb
Generating code for _VCSgd_u9sQz
Generating code for _VCSgd_sKcQh
Generating code for _VCSgd_gI2eP
Generating code for _VCSgd_WDLRk
Generating code for _VCSgd_jqxYq
Generating code for _VCSgd_u7Wez
Generating code for _VCSgd_V9jC8
Generating code for _VCSgd_b7Bq0
Generating code for _VCSgd_GzAdF
Generating code for _VCSgd_trjvP
Generating code for _VCSgd_Q0m70
Generating code for _VCSgd_rY8z4
Generating code for _VCSgd_auQtz
Generating code for _VCSgd_qu5K0
Generating code for _VCSgd_uSkbg
Generating code for _VCSgd_iSYdy
Generating code for _VCSgd_sS8yA
Generating code for _VCSgd_fad4a
Generating code for _VCSgd_yDQKC
Generating code for _VCSgd_bIQkR
Generating code for _VCSgd_Vmwcg
Generating code for _VCSgd_UAWPw
Generating code for _VCSgd_twi7P
Generating code for _VCSgd_BuLDJ
Generating code for _VCSgd_hVfG8
Generating code for _VCSgd_Hcb7G
Generating code for _VCSgd_sSPdp
Generating code for _VCSgd_hB9um
Generating code for _VCSgd_q2SfT
Generating code for _VCSgd_LxUCQ
Generating code for _VCSgd_RaBgz
Generating code for _VCSgd_umMNe
Generating code for _VCSgd_BTQbm
Generating code for _VCSgd_rY4KG
Generating code for _VCSgd_G0DAG
Generating code for _VCSgd_tB8Jh
Generating code for _VCSgd_dQ5Wn
Generating code for _VCSgd_PtKSc
Generating code for _VCSgd_EbZGT
Generating code for _VCSgd_W3iep
Generating code for _VCSgd_hBdB3
Generating code for _VCSgd_t9iIi
Generating code for _VCSgd_mTsSN
Generating code for _VCSgd_Gn7sf
Generating code for _VCSgd_Ci3YH
Generating code for _VCSgd_xj3w4
Generating code for _VCSgd_gFb1K
Generating code for _VCSgd_ESIbG
Generating code for _VCSgd_iuhL4
Generating code for _VCSgd_vKEFA
Generating code for _VCSgd_ybaRU
Generating code for _VCSgd_JDE9k
Generating code for _VCSgd_JEQLH
Generating code for _VCSgd_edjy0
Generating code for _VCSgd_Fwhu7
Generating code for _VCSgd_fte8y
Generating code for _VCSgd_SspYt
Generating code for _VCSgd_H4pqv
Generating code for _VCSgd_D129d
Generating code for _VCSgd_wp5G0
Generating code for _VCSgd_Lum1u
Generating code for _VCSgd_E2Ejq
Generating code for _VCSgd_LfGLE
Generating code for _VCSgd_aqy6k
Generating code for _VCSgd_fFkRp
Generating code for _VCSgd_TyBqE
Generating code for _VCSgd_mRIyv
Generating code for _VCSgd_mPKYW
Generating code for _VCSgd_GS3AN
Generating code for _VCSgd_JZhEk
Generating code for _VCSgd_BirMk
Generating code for _VCSgd_wKYDz
Generating code for _VCSgd_CkjSZ
Generating code for _VCSgd_rhWMb
Generating code for _VCSgd_VEFqj
Generating code for _VCSgd_ac8Cy
Generating code for _VCSgd_nyPBA
Generating code for _VCSgd_Kis2F
Generating code for _VCSgd_J1ihj
Generating code for _VCSgd_hQ6j6
Generating code for _VCSgd_mb7Va
Generating code for _VCSgd_bfUKV
Generating code for _VCSgd_KnzGN
Generating code for _VCSgd_AnTW7
Generating code for _VCSgd_d4mqC
Generating code for _VCSgd_i1epn
Generating code for _VCSgd_hs2jy
Generating code for _VCSgd_dvh6J
Generating code for _VCSgd_D5zHC
Generating code for _VCSgd_c5S4k
Generating code for _VCSgd_WSNfI
Generating code for _VCSgd_NdgtS
Generating code for _VCSgd_uT4M3
Generating code for _VCSgd_S2r6p
Generating code for _VCSgd_a6vTE
Generating code for _VCSgd_Qegvb
Generating code for _VCSgd_wjwhK
Generating code for _VCSgd_gfJge
Generating code for _VCSgd_LSa5H
Generating code for _VCSgd_RT7zd
Generating code for _VCSgd_Y0ZLI
Generating code for _VCSgd_YP0eM
make[1]: Entering directory '/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig/chipyard.TestHarness.TapeoutDoubleMedConfig'
make[1]: Leaving directory '/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig/chipyard.TestHarness.TapeoutDoubleMedConfig'
make[1]: Entering directory '/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig/chipyard.TestHarness.TapeoutDoubleMedConfig'
/usr/bin/g++ -w  -pipe -fPIC -std=c++11 -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/include -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2 -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig -O3 -I/afs/ece.cmu.edu/support/synopsys/synopsys.release/vcs-mx_vK-2015.09/include    -c /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig/mm.cc
/usr/bin/g++ -w  -pipe -fPIC -std=c++11 -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/include -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2 -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig -O3 -I/afs/ece.cmu.edu/support/synopsys/synopsys.release/vcs-mx_vK-2015.09/include    -c /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig/mm_dramsim2.cc
/usr/bin/g++ -w  -pipe -fPIC -std=c++11 -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/include -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2 -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig -O3 -I/afs/ece.cmu.edu/support/synopsys/synopsys.release/vcs-mx_vK-2015.09/include    -c /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig/remote_bitbang.cc
/usr/bin/g++ -w  -pipe -fPIC -std=c++11 -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/include -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2 -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig -O3 -I/afs/ece.cmu.edu/support/synopsys/synopsys.release/vcs-mx_vK-2015.09/include    -c /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig/SimDRAM.cc
/usr/bin/g++ -w  -pipe -fPIC -std=c++11 -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/include -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2 -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig -O3 -I/afs/ece.cmu.edu/support/synopsys/synopsys.release/vcs-mx_vK-2015.09/include    -c /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig/SimJTAG.cc
/usr/bin/g++ -w  -pipe -fPIC -std=c++11 -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/include -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2 -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig -O3 -I/afs/ece.cmu.edu/support/synopsys/synopsys.release/vcs-mx_vK-2015.09/include    -c /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig/SimSerial.cc
/usr/bin/g++ -w  -pipe -fPIC -std=c++11 -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/include -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2 -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig -O3 -I/afs/ece.cmu.edu/support/synopsys/synopsys.release/vcs-mx_vK-2015.09/include    -c /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig/SimUART.cc
/usr/bin/g++ -w  -pipe -fPIC -std=c++11 -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/include -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2 -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig -O3 -I/afs/ece.cmu.edu/support/synopsys/synopsys.release/vcs-mx_vK-2015.09/include    -c /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig/testchip_tsi.cc
/usr/bin/g++ -w  -pipe -fPIC -std=c++11 -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/include -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2 -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig -O3 -I/afs/ece.cmu.edu/support/synopsys/synopsys.release/vcs-mx_vK-2015.09/include    -c /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig/uart.cc
cc1: warning: command line option ‘-std=c++11’ is valid for C++/ObjC++ but not for C [enabled by default]
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: warning: libncurses.so.5, needed by /afs/ece.cmu.edu/support/synopsys/synopsys.release/vcs-mx_vK-2015.09/linux64/lib/libuclinative.so, not found (try using -rpath or -rpath-link)
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(htif.o): in function `bool std::operator==<char, std::char_traits<char>, std::allocator<char> >(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, char const*)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:3601: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::compare(char const*) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(htif.o): in function `void std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_construct<char*>(char*, char*, std::forward_iterator_tag)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.tcc:225: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(htif.o): in function `std::__new_allocator<unsigned long*>::allocate(unsigned long, void const*)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/new_allocator.h:125: undefined reference to `std::__throw_bad_array_new_length()'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(htif.o): in function `void std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_construct<char const*>(char const*, char const*, std::forward_iterator_tag)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.tcc:225: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(htif.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::assign(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1571: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_assign(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(htif.o): in function `htif_t::load_payload(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, unsigned long*)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/htif.cc:94: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::find(char, unsigned long) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(htif.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::assign(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1571: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_assign(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(htif.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::assign(char const*)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1647: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_replace(unsigned long, unsigned long, char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(htif.o): in function `bool std::operator==<char, std::char_traits<char>, std::allocator<char> >(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, char const*)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:3601: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::compare(char const*) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:3601: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::compare(char const*) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:3601: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::compare(char const*) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(htif.o): in function `htif_t::parse_arguments(int, char**)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/htif.cc:264: undefined reference to `std::invalid_argument::invalid_argument(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(htif.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::find(char const*, unsigned long) const':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:2657: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::find(char const*, unsigned long, unsigned long) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:2657: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::find(char const*, unsigned long, unsigned long) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:2657: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::find(char const*, unsigned long, unsigned long) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:2657: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::find(char const*, unsigned long, unsigned long) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:2657: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::find(char const*, unsigned long, unsigned long) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(htif.o):/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:2657: more undefined references to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::find(char const*, unsigned long, unsigned long) const' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(htif.o): in function `htif_t::parse_arguments(int, char**)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/htif.cc:325: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::find(char const*, unsigned long) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/htif.cc:355: undefined reference to `std::invalid_argument::invalid_argument(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/htif.cc:331: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::find(char const*, unsigned long) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(htif.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::assign(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1571: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_assign(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(htif.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > std::operator+<char, std::char_traits<char>, std::allocator<char> >(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >&&, char const*)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:3555: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::append(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:3555: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::basic_string(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >&&)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(htif.o): in function `htif_t::load_payload(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, unsigned long*)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/htif.cc:102: undefined reference to `std::runtime_error::runtime_error(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(htif.o): in function `htif_t::parse_arguments(int, char**)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/htif.cc:327: undefined reference to `std::invalid_argument::invalid_argument(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/htif.cc:333: undefined reference to `std::invalid_argument::invalid_argument(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/htif.cc:271: undefined reference to `std::invalid_argument::invalid_argument(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/htif.cc:290: undefined reference to `std::invalid_argument::invalid_argument(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(htif.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > std::operator+<char, std::char_traits<char>, std::allocator<char> >(char const*, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.tcc:618: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::reserve(unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(htif.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::append(char const*, unsigned long)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1422: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1422: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(memif.o): in function `memif_t::write_uint16(unsigned long, target_endian<unsigned short>)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/memif.cc:133: undefined reference to `std::runtime_error::runtime_error(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(memif.o): in function `memif_t::write_int16(unsigned long, target_endian<short>)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/memif.cc:138: undefined reference to `std::runtime_error::runtime_error(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(memif.o): in function `memif_t::write_uint32(unsigned long, target_endian<unsigned int>)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/memif.cc:155: undefined reference to `std::runtime_error::runtime_error(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(memif.o): in function `memif_t::write_int32(unsigned long, target_endian<int>)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/memif.cc:160: undefined reference to `std::runtime_error::runtime_error(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(memif.o): in function `memif_t::write_uint64(unsigned long, target_endian<unsigned long>)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/memif.cc:177: undefined reference to `std::runtime_error::runtime_error(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(memif.o):/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/memif.cc:182: more undefined references to `std::runtime_error::runtime_error(char const*)' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(syscall.o): in function `void std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_construct<char const*>(char const*, char const*, std::forward_iterator_tag)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.tcc:225: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(syscall.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::append(char const*)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1437: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(syscall.o): in function `void std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_construct<char*>(char*, char*, std::forward_iterator_tag)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.tcc:225: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(syscall.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::assign(char const*)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1647: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_replace(unsigned long, unsigned long, char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(syscall.o): in function `std::__new_allocator<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > >::allocate(unsigned long, void const*)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/new_allocator.h:125: undefined reference to `std::__throw_bad_array_new_length()'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(syscall.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::replace(unsigned long, unsigned long, char const*, unsigned long)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:2171: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_replace(unsigned long, unsigned long, char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(syscall.o): in function `syscall_t::dispatch(unsigned long)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/syscall.cc:455: undefined reference to `std::runtime_error::runtime_error(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(syscall.o): in function `syscall_t::syscall_t(htif_t*)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/syscall.cc:170: undefined reference to `std::runtime_error::runtime_error(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(syscall.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::basic_string(unsigned long, char, std::allocator<char> const&)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:659: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_construct(unsigned long, char)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:659: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_construct(unsigned long, char)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:659: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_construct(unsigned long, char)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(syscall.o): in function `void std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_construct<char*>(char*, char*, std::forward_iterator_tag)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.tcc:225: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(device.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::basic_string(unsigned long, char, std::allocator<char> const&)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:659: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_construct(unsigned long, char)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(device.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::replace(unsigned long, unsigned long, char const*, unsigned long)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:2171: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_replace(unsigned long, unsigned long, char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(device.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::assign(char const*)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1647: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_replace(unsigned long, unsigned long, char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(device.o): in function `void std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_construct<char const*>(char const*, char const*, std::forward_iterator_tag)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.tcc:225: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.tcc:243: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_S_copy_chars(char*, char const*, char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(device.o): in function `disk_t::disk_t(char const*)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/device.cc:96: undefined reference to `std::runtime_error::runtime_error(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/device.cc:89: undefined reference to `std::runtime_error::runtime_error(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(device.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > std::operator+<char, std::char_traits<char>, std::allocator<char> >(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >&&, char const*)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:3555: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::append(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:3555: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::basic_string(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >&&)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(device.o): in function `disk_t::handle_read(command_t)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/device.cc:114: undefined reference to `std::runtime_error::runtime_error(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(device.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > std::operator+<char, std::char_traits<char>, std::allocator<char> >(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >&&, char const*)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:3555: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::append(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:3555: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::basic_string(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >&&)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(device.o): in function `disk_t::handle_write(command_t)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/device.cc:129: undefined reference to `std::runtime_error::runtime_error(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(device.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::append(char const*, unsigned long)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1422: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(device.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::replace(unsigned long, unsigned long, char const*, unsigned long)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:2171: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_replace(unsigned long, unsigned long, char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:2171: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_replace(unsigned long, unsigned long, char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(device.o): in function `std::__new_allocator<command_t*>::allocate(unsigned long, void const*)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/new_allocator.h:125: undefined reference to `std::__throw_bad_array_new_length()'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(rfb.o): in function `void std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_construct<char const*>(char const*, char const*, std::forward_iterator_tag)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.tcc:225: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(rfb.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::append(char const*, unsigned long)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1422: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1422: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1422: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1422: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1422: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(rfb.o):/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1422: more undefined references to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(rfb.o): in function `rfb_t::set_pixel_format(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/rfb.cc:119: undefined reference to `std::runtime_error::runtime_error(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(rfb.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_check(unsigned long, char const*) const':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:382: undefined reference to `std::__throw_out_of_range_fmt(char const*, ...)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(rfb.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::append(char const*, unsigned long)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1422: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1422: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1422: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1422: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1422: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(rfb.o):/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1422: more undefined references to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(rfb.o): in function `rfb_t::thread_main()':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/rfb.cc:57: undefined reference to `std::runtime_error::runtime_error(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(rfb.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::append(char const*, unsigned long)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1422: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1422: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1422: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1422: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1422: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(rfb.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::basic_string(unsigned long, char, std::allocator<char> const&)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:659: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_construct(unsigned long, char)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:659: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_construct(unsigned long, char)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:659: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_construct(unsigned long, char)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(rfb.o): in function `rfb_t::write(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/rfb.cc:187: undefined reference to `std::runtime_error::runtime_error(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(rfb.o): in function `rfb_t::read[abi:cxx11]()':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/rfb.cc:195: undefined reference to `std::runtime_error::runtime_error(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/rfb.cc:197: undefined reference to `std::runtime_error::runtime_error(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(rfb.o): in function `rfb_t::handle_configure(command_t)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/rfb.cc:219: undefined reference to `std::runtime_error::runtime_error(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/rfb.cc:214: undefined reference to `std::runtime_error::runtime_error(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/rfb.cc:211: undefined reference to `std::runtime_error::runtime_error(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/rfb.cc:204: undefined reference to `std::runtime_error::runtime_error(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(rfb.o): in function `rfb_t::thread_main()':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/rfb.cc:52: undefined reference to `std::runtime_error::runtime_error(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/rfb.cc:47: undefined reference to `std::runtime_error::runtime_error(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/rfb.cc:38: undefined reference to `std::runtime_error::runtime_error(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/rfb.cc:45: undefined reference to `std::runtime_error::runtime_error(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(rfb.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > std::operator+<char, std::char_traits<char>, std::allocator<char> >(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >&&, char const*)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:3555: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::append(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:3555: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::basic_string(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >&&)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(rfb.o): in function `rfb_t::handle_set_address(command_t)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/rfb.cc:227: undefined reference to `std::runtime_error::runtime_error(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(tsi.o): in function `std::__new_allocator<unsigned int*>::allocate(unsigned long, void const*)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/new_allocator.h:125: undefined reference to `std::__throw_bad_array_new_length()'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(elfloader.o): in function `void std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_construct<char const*>(char const*, char const*, std::forward_iterator_tag)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.tcc:225: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(elfloader.o): in function `load_elf[abi:cxx11](char const*, memif_t*, unsigned long*)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/elfloader.cc:104: undefined reference to `std::invalid_argument::invalid_argument(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o): in function `DRAMSim::MultiChannelMemorySystem::~MultiChannelMemorySystem()':
MultiChannelMemorySystem.cpp:(.text+0xd0): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0xf3): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x116): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x139): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x154): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o): in function `FilenameWithNumberSuffix(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, unsigned int)':
MultiChannelMemorySystem.cpp:(.text+0xe5c): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0xea3): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0xf12): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0xf21): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0xf72): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0xf95): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0xfa4): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o):MultiChannelMemorySystem.cpp:(.text+0xfab): more undefined references to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o): in function `FilenameWithNumberSuffix(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, unsigned int)':
MultiChannelMemorySystem.cpp:(.text+0xfb2): undefined reference to `vtable for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1029): undefined reference to `vtable for std::__cxx11::basic_stringbuf<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1119): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x11e5): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1200): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x121c): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1237): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_assign(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x12cc): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_replace(unsigned long, unsigned long, char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x12e2): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_replace(unsigned long, unsigned long, char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x140e): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_assign(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x14eb): undefined reference to `vtable for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1539): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1559): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1560): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1567): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x156e): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1586): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o):MultiChannelMemorySystem.cpp:(.text+0x15a1): more undefined references to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o): in function `DRAMSim::MultiChannelMemorySystem::InitOutputFiles(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >)':
MultiChannelMemorySystem.cpp:(.text+0x173d): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1760): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x179b): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::find_last_of(char const*, unsigned long, unsigned long) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1857): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::reserve(unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1888): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x18bc): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x18ed): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1953): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x197a): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x19c1): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x19e0): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1a2f): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1a36): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1a5b): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o):MultiChannelMemorySystem.cpp:(.text+0x1a6a): more undefined references to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o): in function `DRAMSim::MultiChannelMemorySystem::InitOutputFiles(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >)':
MultiChannelMemorySystem.cpp:(.text+0x1a9f): undefined reference to `vtable for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1af4): undefined reference to `vtable for std::__cxx11::basic_stringbuf<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1b7a): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1bd1): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1bee): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1bfd): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1c04): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1c29): undefined reference to `vtable for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1c79): undefined reference to `vtable for std::__cxx11::basic_stringbuf<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1db5): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_replace(unsigned long, unsigned long, char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1e43): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1f1f): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1f3d): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1f72): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x2061): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x2084): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x208b): undefined reference to `vtable for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x20d0): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x20f0): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x20fc): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x210f): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x2116): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x2135): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x216c): undefined reference to `vtable for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x21b1): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x21d1): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x21dd): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x21e4): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x21f7): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x21fe): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x22ca): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x2417): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_replace(unsigned long, unsigned long, char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x24ae): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::compare(char const*) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x24cf): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x25b8): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x25e8): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::find_last_of(char const*, unsigned long, unsigned long) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x26ea): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x2736): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::find_last_of(char const*, unsigned long, unsigned long) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x2831): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x28d1): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x2906): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x29e0): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x29fe): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x2a73): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x2ac0): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x2b9d): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x2bc3): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x2c3d): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x2c82): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x2d5a): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x2d78): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x2df2): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x2fec): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x300a): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o):MultiChannelMemorySystem.cpp:(.text+0x3034): more undefined references to `operator delete(void*, unsigned long)' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o): in function `DRAMSim::MultiChannelMemorySystem::InitOutputFiles(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >)':
MultiChannelMemorySystem.cpp:(.text+0x31be): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_assign(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x3235): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_replace(unsigned long, unsigned long, char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x3250): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x32b2): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_replace(unsigned long, unsigned long, char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x32cd): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x3320): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x336d): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x34d8): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x3620): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o):MultiChannelMemorySystem.cpp:(.text+0x366d): more undefined references to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o): in function `DRAMSim::MultiChannelMemorySystem::InitOutputFiles(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >)':
MultiChannelMemorySystem.cpp:(.text+0x3a68): undefined reference to `std::__throw_out_of_range_fmt(char const*, ...)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x3b53): undefined reference to `std::__throw_out_of_range_fmt(char const*, ...)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x3b92): undefined reference to `std::__throw_out_of_range_fmt(char const*, ...)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x3bad): undefined reference to `std::__throw_out_of_range_fmt(char const*, ...)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o): in function `DRAMSim::MultiChannelMemorySystem::MultiChannelMemorySystem(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, unsigned int, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >*, std::map<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, std::less<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > >, std::allocator<std::pair<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > > > > const*)':
MultiChannelMemorySystem.cpp:(.text+0x4245): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x4320): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x4448): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x448b): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x44d0): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x451b): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x4638): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x4666): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x4721): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x473d): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x47bc): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x47ea): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x48a5): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x48c1): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x493b): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x497b): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x4a88): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x4ac3): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o): in function `DRAMSim::MultiChannelMemorySystem::actual_update()':
MultiChannelMemorySystem.cpp:(.text+0x4e82): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x51cb): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x52af): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o): in function `DRAMSim::MultiChannelMemorySystem::printStats(bool)':
MultiChannelMemorySystem.cpp:(.text+0x57aa): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o): in function `DRAMSim::MultiChannelMemorySystem::~MultiChannelMemorySystem()':
MultiChannelMemorySystem.cpp:(.text+0x2c3): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o): in function `DRAMSim::MultiChannelMemorySystem::addTransaction(DRAMSim::Transaction const&) [clone .cold]':
MultiChannelMemorySystem.cpp:(.text.unlikely+0x27): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o): in function `FilenameWithNumberSuffix(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, unsigned int) [clone .cold]':
MultiChannelMemorySystem.cpp:(.text.unlikely+0x36): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x3d): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x8e): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0xad): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0xd0): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0xec): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0xf6): undefined reference to `std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >::~basic_stringstream()'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x10a): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x111): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x124): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x12b): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x146): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o):MultiChannelMemorySystem.cpp:(.text.unlikely+0x14d): more undefined references to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o): in function `FilenameWithNumberSuffix(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, unsigned int) [clone .cold]':
MultiChannelMemorySystem.cpp:(.text.unlikely+0x190): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o): in function `DRAMSim::MultiChannelMemorySystem::InitOutputFiles(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >) [clone .cold]':
MultiChannelMemorySystem.cpp:(.text.unlikely+0x1b4): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x1d2): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x1f8): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x21b): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x236): undefined reference to `std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >::~basic_stringstream()'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x243): undefined reference to `std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >::~basic_stringstream()'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x261): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x284): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x29d): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x2c1): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x2e9): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o):MultiChannelMemorySystem.cpp:(.text.unlikely+0x310): more undefined references to `operator delete(void*, unsigned long)' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o): in function `DRAMSim::MultiChannelMemorySystem::InitOutputFiles(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >) [clone .cold]':
MultiChannelMemorySystem.cpp:(.text.unlikely+0x324): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x32b): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x341): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x348): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x367): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o):MultiChannelMemorySystem.cpp:(.text.unlikely+0x3a8): more undefined references to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o): in function `DRAMSim::MultiChannelMemorySystem::InitOutputFiles(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >) [clone .cold]':
MultiChannelMemorySystem.cpp:(.text.unlikely+0x48e): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x4b5): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x4c5): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o): in function `DRAMSim::MultiChannelMemorySystem::MultiChannelMemorySystem(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, unsigned int, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >*, std::map<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, std::less<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > >, std::allocator<std::pair<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > > > > const*) [clone .cold]':
MultiChannelMemorySystem.cpp:(.text.unlikely+0x4f6): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x514): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x532): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x550): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x56e): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o):MultiChannelMemorySystem.cpp:(.text.unlikely+0x5bc): more undefined references to `operator delete(void*, unsigned long)' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > std::operator+<char, std::char_traits<char>, std::allocator<char> >(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)':
MultiChannelMemorySystem.cpp:(.text._ZStplIcSt11char_traitsIcESaIcEENSt7__cxx1112basic_stringIT_T0_T1_EERKS8_SA_[_ZStplIcSt11char_traitsIcESaIcEENSt7__cxx1112basic_stringIT_T0_T1_EERKS8_SA_]+0x63): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text._ZStplIcSt11char_traitsIcESaIcEENSt7__cxx1112basic_stringIT_T0_T1_EERKS8_SA_[_ZStplIcSt11char_traitsIcESaIcEENSt7__cxx1112basic_stringIT_T0_T1_EERKS8_SA_]+0x98): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text._ZStplIcSt11char_traitsIcESaIcEENSt7__cxx1112basic_stringIT_T0_T1_EERKS8_SA_[_ZStplIcSt11char_traitsIcESaIcEENSt7__cxx1112basic_stringIT_T0_T1_EERKS8_SA_]+0xe8): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o): in function `std::__cxx11::basic_stringbuf<char, std::char_traits<char>, std::allocator<char> >::~basic_stringbuf()':
MultiChannelMemorySystem.cpp:(.text._ZNSt7__cxx1115basic_stringbufIcSt11char_traitsIcESaIcEED2Ev[_ZNSt7__cxx1115basic_stringbufIcSt11char_traitsIcESaIcEED5Ev]+0x3): undefined reference to `vtable for std::__cxx11::basic_stringbuf<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text._ZNSt7__cxx1115basic_stringbufIcSt11char_traitsIcESaIcEED2Ev[_ZNSt7__cxx1115basic_stringbufIcSt11char_traitsIcESaIcEED5Ev]+0x24): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o): in function `std::__cxx11::basic_stringbuf<char, std::char_traits<char>, std::allocator<char> >::~basic_stringbuf()':
MultiChannelMemorySystem.cpp:(.text._ZNSt7__cxx1115basic_stringbufIcSt11char_traitsIcESaIcEED0Ev[_ZNSt7__cxx1115basic_stringbufIcSt11char_traitsIcESaIcEED5Ev]+0x3): undefined reference to `vtable for std::__cxx11::basic_stringbuf<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text._ZNSt7__cxx1115basic_stringbufIcSt11char_traitsIcESaIcEED0Ev[_ZNSt7__cxx1115basic_stringbufIcSt11char_traitsIcESaIcEED5Ev]+0x24): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text._ZNSt7__cxx1115basic_stringbufIcSt11char_traitsIcESaIcEED0Ev[_ZNSt7__cxx1115basic_stringbufIcSt11char_traitsIcESaIcEED5Ev]+0x45): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o): in function `void std::vector<DRAMSim::MemorySystem*, std::allocator<DRAMSim::MemorySystem*> >::_M_realloc_insert<DRAMSim::MemorySystem* const&>(__gnu_cxx::__normal_iterator<DRAMSim::MemorySystem**, std::vector<DRAMSim::MemorySystem*, std::allocator<DRAMSim::MemorySystem*> > >, DRAMSim::MemorySystem* const&)':
MultiChannelMemorySystem.cpp:(.text._ZNSt6vectorIPN7DRAMSim12MemorySystemESaIS2_EE17_M_realloc_insertIJRKS2_EEEvN9__gnu_cxx17__normal_iteratorIPS2_S4_EEDpOT_[_ZNSt6vectorIPN7DRAMSim12MemorySystemESaIS2_EE17_M_realloc_insertIJRKS2_EEEvN9__gnu_cxx17__normal_iteratorIPS2_S4_EEDpOT_]+0xd6): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o):(.data.rel.ro+0x0): undefined reference to `vtable for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o):(.data.rel.ro+0x10): undefined reference to `vtable for std::__cxx11::basic_stringbuf<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(IniReader.o): in function `__tcf_0':
IniReader.cpp:(.text+0x29): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(IniReader.o): in function `DRAMSim::IniReader::InitEnumsFromStrings()':
IniReader.cpp:(.text+0xb28): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::compare(char const*) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0xb5d): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::compare(char const*) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0xb9e): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::compare(char const*) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0xbdf): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::compare(char const*) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0xc23): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::compare(char const*) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(IniReader.o):IniReader.cpp:(.text+0xcab): more undefined references to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::compare(char const*) const' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(IniReader.o): in function `DRAMSim::IniReader::SetKey(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, bool, unsigned long)':
IniReader.cpp:(.text+0x137d): undefined reference to `VTT for std::__cxx11::basic_istringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x13f7): undefined reference to `std::__cxx11::basic_stringbuf<char, std::char_traits<char>, std::allocator<char> >::_M_sync(char*, unsigned long, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x1455): undefined reference to `vtable for std::__cxx11::basic_istringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x1478): undefined reference to `vtable for std::__cxx11::basic_stringbuf<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x1496): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x14bc): undefined reference to `VTT for std::__cxx11::basic_istringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x152b): undefined reference to `VTT for std::__cxx11::basic_istringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x1584): undefined reference to `vtable for std::__cxx11::basic_istringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x160e): undefined reference to `vtable for std::__cxx11::basic_stringbuf<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x1666): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x17c4): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x1962): undefined reference to `vtable for std::__cxx11::basic_istringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x197d): undefined reference to `vtable for std::__cxx11::basic_stringbuf<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x199b): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x19bd): undefined reference to `VTT for std::__cxx11::basic_istringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x1d95): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::compare(char const*) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x20ef): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::compare(char const*) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x222d): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(IniReader.o): in function `DRAMSim::IniReader::OverrideKeys(std::map<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, std::less<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > >, std::allocator<std::pair<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > > > > const*)':
IniReader.cpp:(.text+0x2585): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x25a3): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x25bb): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x25d3): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x27f0): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x2840): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x288d): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x28e6): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(IniReader.o): in function `DRAMSim::IniReader::ReadIniFile(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, bool)':
IniReader.cpp:(.text+0x2b62): undefined reference to `std::basic_istream<char, std::char_traits<char> >& std::getline<char, std::char_traits<char>, std::allocator<char> >(std::basic_istream<char, std::char_traits<char> >&, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >&, char)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x2b93): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::find_first_of(char const*, unsigned long, unsigned long) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x2c8e): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x2ca9): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::find_last_not_of(char const*, unsigned long, unsigned long) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x2d9c): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x2db2): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::find_first_of(char const*, unsigned long, unsigned long) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x2eb3): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x2fb2): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x308d): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x30ab): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x3189): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x31e8): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x323d): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x328d): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x33ae): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(IniReader.o):IniReader.cpp:(.text+0x33fe): more undefined references to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(IniReader.o): in function `DRAMSim::IniReader::ReadIniFile(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, bool)':
IniReader.cpp:(.text+0x36f5): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x3718): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x3738): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x3b9a): undefined reference to `std::__throw_out_of_range_fmt(char const*, ...)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(IniReader.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::basic_string<std::allocator<char> >(char const*, std::allocator<char> const&) [clone .constprop.0]':
IniReader.cpp:(.text.startup+0x6d): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(IniReader.o): in function `_GLOBAL__sub_I_TOTAL_STORAGE':
IniReader.cpp:(.text.startup+0xf8): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::~basic_string()'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(IniReader.o): in function `DRAMSim::IniReader::SetKey(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, bool, unsigned long) [clone .cold]':
IniReader.cpp:(.text.unlikely+0x6): undefined reference to `std::__cxx11::basic_istringstream<char, std::char_traits<char>, std::allocator<char> >::~basic_istringstream()'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text.unlikely+0x15): undefined reference to `vtable for std::__cxx11::basic_stringbuf<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text.unlikely+0x4c): undefined reference to `VTT for std::__cxx11::basic_istringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text.unlikely+0x98): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(IniReader.o): in function `DRAMSim::IniReader::OverrideKeys(std::map<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, std::less<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > >, std::allocator<std::pair<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > > > > const*) [clone .cold]':
IniReader.cpp:(.text.unlikely+0xb8): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text.unlikely+0xd6): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text.unlikely+0xee): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text.unlikely+0x106): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(IniReader.o):IniReader.cpp:(.text.unlikely+0x12c): more undefined references to `operator delete(void*, unsigned long)' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MemorySystem.o): in function `void std::deque<DRAMSim::Transaction*, std::allocator<DRAMSim::Transaction*> >::_M_push_back_aux<DRAMSim::Transaction* const&>(DRAMSim::Transaction* const&)':
MemorySystem.cpp:(.text._ZNSt5dequeIPN7DRAMSim11TransactionESaIS2_EE16_M_push_back_auxIJRKS2_EEEvDpOT_[_ZNSt5dequeIPN7DRAMSim11TransactionESaIS2_EE16_M_push_back_auxIJRKS2_EEEvDpOT_]+0x207): undefined reference to `std::__throw_bad_array_new_length()'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(Rank.o): in function `DRAMSim::Rank::~Rank()':
Rank.cpp:(.text+0x2f): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: Rank.cpp:(.text+0x66): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: Rank.cpp:(.text+0x81): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: Rank.cpp:(.text+0xad): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: Rank.cpp:(.text+0xcd): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(Rank.o):Rank.cpp:(.text+0xe2): more undefined references to `operator delete(void*, unsigned long)' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(Rank.o): in function `DRAMSim::Bank* std::__do_uninit_fill_n<DRAMSim::Bank*, unsigned long, DRAMSim::Bank>(DRAMSim::Bank*, unsigned long, DRAMSim::Bank const&)':
Rank.cpp:(.text._ZSt18__do_uninit_fill_nIPN7DRAMSim4BankEmS1_ET_S3_T0_RKT1_[_ZSt18__do_uninit_fill_nIPN7DRAMSim4BankEmS1_ET_S3_T0_RKT1_]+0xfe): undefined reference to `std::__throw_bad_array_new_length()'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: Rank.cpp:(.text._ZSt18__do_uninit_fill_nIPN7DRAMSim4BankEmS1_ET_S3_T0_RKT1_[_ZSt18__do_uninit_fill_nIPN7DRAMSim4BankEmS1_ET_S3_T0_RKT1_]+0x12b): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(Rank.o): in function `DRAMSim::Rank::Rank(std::ostream&) [clone .cold]':
Rank.cpp:(.text.unlikely+0x14): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: Rank.cpp:(.text.unlikely+0x2b): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: Rank.cpp:(.text.unlikely+0x40): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: Rank.cpp:(.text.unlikely+0x55): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(Rank.o):Rank.cpp:(.text.unlikely+0x84): more undefined references to `operator delete(void*, unsigned long)' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MemoryController.o): in function `DRAMSim::MemoryController::printStats(bool)':
MemoryController.cpp:(.text+0x1c80): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text+0x1cf0): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text+0x231b): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text+0x236b): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text+0x2d6a): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text+0x2dc2): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text+0x2ee5): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text+0x2f5b): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text+0x300d): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text+0x3262): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text+0x32a6): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text+0x32ea): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text+0x332e): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MemoryController.o):MemoryController.cpp:(.text+0x3372): more undefined references to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MemoryController.o): in function `DRAMSim::MemoryController::insertHistogram(unsigned int, unsigned int, unsigned int)':
MemoryController.cpp:(.text+0x3cec): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MemoryController.o): in function `DRAMSim::MemoryController::update()':
MemoryController.cpp:(.text+0x426a): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text+0x42ba): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text+0x4c8e): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MemoryController.o): in function `DRAMSim::MemoryController::MemoryController(DRAMSim::MemorySystem*, DRAMSim::CSVWriter&, std::ostream&)':
MemoryController.cpp:(.text+0x6375): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MemoryController.o):MemoryController.cpp:(.text+0x656b): more undefined references to `operator delete(void*, unsigned long)' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MemoryController.o): in function `DRAMSim::CSVWriter::IndexedName::IndexedName(char const*, unsigned int, unsigned int)':
MemoryController.cpp:(.text._ZN7DRAMSim9CSVWriter11IndexedNameC2EPKcjj[_ZN7DRAMSim9CSVWriter11IndexedNameC5EPKcjj]+0x143): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text._ZN7DRAMSim9CSVWriter11IndexedNameC2EPKcjj[_ZN7DRAMSim9CSVWriter11IndexedNameC5EPKcjj]+0x36d): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MemoryController.o): in function `std::vector<unsigned int, std::allocator<unsigned int> >::reserve(unsigned long)':
MemoryController.cpp:(.text._ZNSt6vectorIjSaIjEE7reserveEm[_ZNSt6vectorIjSaIjEE7reserveEm]+0x96): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MemoryController.o): in function `void std::vector<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, std::allocator<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > > >::_M_realloc_insert<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&>(__gnu_cxx::__normal_iterator<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >*, std::vector<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, std::allocator<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > > > >, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)':
MemoryController.cpp:(.text._ZNSt6vectorINSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEESaIS5_EE17_M_realloc_insertIJRKS5_EEEvN9__gnu_cxx17__normal_iteratorIPS5_S7_EEDpOT_[_ZNSt6vectorINSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEESaIS5_EE17_M_realloc_insertIJRKS5_EEEvN9__gnu_cxx17__normal_iteratorIPS5_S7_EEDpOT_]+0x203): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text._ZNSt6vectorINSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEESaIS5_EE17_M_realloc_insertIJRKS5_EEEvN9__gnu_cxx17__normal_iteratorIPS5_S7_EEDpOT_[_ZNSt6vectorINSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEESaIS5_EE17_M_realloc_insertIJRKS5_EEEvN9__gnu_cxx17__normal_iteratorIPS5_S7_EEDpOT_]+0x26d): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text._ZNSt6vectorINSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEESaIS5_EE17_M_realloc_insertIJRKS5_EEEvN9__gnu_cxx17__normal_iteratorIPS5_S7_EEDpOT_[_ZNSt6vectorINSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEESaIS5_EE17_M_realloc_insertIJRKS5_EEEvN9__gnu_cxx17__normal_iteratorIPS5_S7_EEDpOT_]+0x2f5): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text._ZNSt6vectorINSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEESaIS5_EE17_M_realloc_insertIJRKS5_EEEvN9__gnu_cxx17__normal_iteratorIPS5_S7_EEDpOT_[_ZNSt6vectorINSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEESaIS5_EE17_M_realloc_insertIJRKS5_EEEvN9__gnu_cxx17__normal_iteratorIPS5_S7_EEDpOT_]+0x316): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MemoryController.o): in function `std::vector<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, std::allocator<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > > >::push_back(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)':
MemoryController.cpp:(.text._ZNSt6vectorINSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEESaIS5_EE9push_backERKS5_[_ZNSt6vectorINSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEESaIS5_EE9push_backERKS5_]+0x6b): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MemoryController.o): in function `DRAMSim::MemoryController::printStats(bool) [clone .cold]':
MemoryController.cpp:(.text.unlikely+0xe): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text.unlikely+0x2d): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text.unlikely+0x58): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text.unlikely+0x75): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text.unlikely+0x94): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MemoryController.o):MemoryController.cpp:(.text.unlikely+0xb4): more undefined references to `operator delete(void*, unsigned long)' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MemoryController.o): in function `std::vector<DRAMSim::BankState, std::allocator<DRAMSim::BankState> >* std::__do_uninit_fill_n<std::vector<DRAMSim::BankState, std::allocator<DRAMSim::BankState> >*, unsigned long, std::vector<DRAMSim::BankState, std::allocator<DRAMSim::BankState> > >(std::vector<DRAMSim::BankState, std::allocator<DRAMSim::BankState> >*, unsigned long, std::vector<DRAMSim::BankState, std::allocator<DRAMSim::BankState> > const&)':
MemoryController.cpp:(.text._ZSt18__do_uninit_fill_nIPSt6vectorIN7DRAMSim9BankStateESaIS2_EEmS4_ET_S6_T0_RKT1_[_ZSt18__do_uninit_fill_nIPSt6vectorIN7DRAMSim9BankStateESaIS2_EEmS4_ET_S6_T0_RKT1_]+0xf5): undefined reference to `std::__throw_bad_array_new_length()'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text._ZSt18__do_uninit_fill_nIPSt6vectorIN7DRAMSim9BankStateESaIS2_EEmS4_ET_S6_T0_RKT1_[_ZSt18__do_uninit_fill_nIPSt6vectorIN7DRAMSim9BankStateESaIS2_EEmS4_ET_S6_T0_RKT1_]+0x121): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(CommandQueue.o): in function `DRAMSim::CommandQueue::~CommandQueue()':
CommandQueue.cpp:(.text+0x97): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: CommandQueue.cpp:(.text+0x110): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: CommandQueue.cpp:(.text+0x12d): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: CommandQueue.cpp:(.text+0x158): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(CommandQueue.o):CommandQueue.cpp:(.text+0x175): more undefined references to `operator delete(void*, unsigned long)' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(CommandQueue.o): in function `DRAMSim::CommandQueue::CommandQueue(std::vector<std::vector<DRAMSim::BankState, std::allocator<DRAMSim::BankState> >, std::allocator<std::vector<DRAMSim::BankState, std::allocator<DRAMSim::BankState> > > >&, std::ostream&)':
CommandQueue.cpp:(.text+0x26d0): undefined reference to `std::__throw_bad_array_new_length()'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: CommandQueue.cpp:(.text+0x2707): undefined reference to `std::__throw_bad_array_new_length()'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(CommandQueue.o): in function `DRAMSim::CommandQueue::~CommandQueue()':
CommandQueue.cpp:(.text+0x201): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(CommandQueue.o): in function `DRAMSim::CommandQueue::~CommandQueue()':
CommandQueue.cpp:(.text+0x283): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(CommandQueue.o): in function `DRAMSim::CommandQueue::CommandQueue(std::vector<std::vector<DRAMSim::BankState, std::allocator<DRAMSim::BankState> >, std::allocator<std::vector<DRAMSim::BankState, std::allocator<DRAMSim::BankState> > > >&, std::ostream&)':
CommandQueue.cpp:(.text+0x2602): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(CommandQueue.o): in function `std::vector<std::vector<unsigned int, std::allocator<unsigned int> >, std::allocator<std::vector<unsigned int, std::allocator<unsigned int> > > >::~vector()':
CommandQueue.cpp:(.text._ZNSt6vectorIS_IjSaIjEESaIS1_EED2Ev[_ZNSt6vectorIS_IjSaIjEESaIS1_EED5Ev]+0x2c): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: CommandQueue.cpp:(.text._ZNSt6vectorIS_IjSaIjEESaIS1_EED2Ev[_ZNSt6vectorIS_IjSaIjEESaIS1_EED5Ev]+0x4e): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(CommandQueue.o):CommandQueue.cpp:(.text._ZNSt6vectorIS_IPN7DRAMSim9BusPacketESaIS2_EESaIS4_EED2Ev[_ZNSt6vectorIS_IPN7DRAMSim9BusPacketESaIS2_EESaIS4_EED5Ev]+0x2c): more undefined references to `operator delete(void*, unsigned long)' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(CommandQueue.o): in function `void std::vector<std::vector<DRAMSim::BusPacket*, std::allocator<DRAMSim::BusPacket*> >, std::allocator<std::vector<DRAMSim::BusPacket*, std::allocator<DRAMSim::BusPacket*> > > >::_M_realloc_insert<std::vector<DRAMSim::BusPacket*, std::allocator<DRAMSim::BusPacket*> > const&>(__gnu_cxx::__normal_iterator<std::vector<DRAMSim::BusPacket*, std::allocator<DRAMSim::BusPacket*> >*, std::vector<std::vector<DRAMSim::BusPacket*, std::allocator<DRAMSim::BusPacket*> >, std::allocator<std::vector<DRAMSim::BusPacket*, std::allocator<DRAMSim::BusPacket*> > > > >, std::vector<DRAMSim::BusPacket*, std::allocator<DRAMSim::BusPacket*> > const&)':
CommandQueue.cpp:(.text._ZNSt6vectorIS_IPN7DRAMSim9BusPacketESaIS2_EESaIS4_EE17_M_realloc_insertIJRKS4_EEEvN9__gnu_cxx17__normal_iteratorIPS4_S6_EEDpOT_[_ZNSt6vectorIS_IPN7DRAMSim9BusPacketESaIS2_EESaIS4_EE17_M_realloc_insertIJRKS4_EEEvN9__gnu_cxx17__normal_iteratorIPS4_S6_EEDpOT_]+0x371): undefined reference to `std::__throw_bad_array_new_length()'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: CommandQueue.cpp:(.text._ZNSt6vectorIS_IPN7DRAMSim9BusPacketESaIS2_EESaIS4_EE17_M_realloc_insertIJRKS4_EEEvN9__gnu_cxx17__normal_iteratorIPS4_S6_EEDpOT_[_ZNSt6vectorIS_IPN7DRAMSim9BusPacketESaIS2_EESaIS4_EE17_M_realloc_insertIJRKS4_EEEvN9__gnu_cxx17__normal_iteratorIPS4_S6_EEDpOT_]+0x3c4): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(CommandQueue.o): in function `void std::vector<std::vector<std::vector<DRAMSim::BusPacket*, std::allocator<DRAMSim::BusPacket*> >, std::allocator<std::vector<DRAMSim::BusPacket*, std::allocator<DRAMSim::BusPacket*> > > >, std::allocator<std::vector<std::vector<DRAMSim::BusPacket*, std::allocator<DRAMSim::BusPacket*> >, std::allocator<std::vector<DRAMSim::BusPacket*, std::allocator<DRAMSim::BusPacket*> > > > > >::_M_realloc_insert<std::vector<std::vector<DRAMSim::BusPacket*, std::allocator<DRAMSim::BusPacket*> >, std::allocator<std::vector<DRAMSim::BusPacket*, std::allocator<DRAMSim::BusPacket*> > > > const&>(__gnu_cxx::__normal_iterator<std::vector<std::vector<DRAMSim::BusPacket*, std::allocator<DRAMSim::BusPacket*> >, std::allocator<std::vector<DRAMSim::BusPacket*, std::allocator<DRAMSim::BusPacket*> > > >*, std::vector<std::vector<std::vector<DRAMSim::BusPacket*, std::allocator<DRAMSim::BusPacket*> >, std::allocator<std::vector<DRAMSim::BusPacket*, std::allocator<DRAMSim::BusPacket*> > > >, std::allocator<std::vector<std::vector<DRAMSim::BusPacket*, std::allocator<DRAMSim::BusPacket*> >, std::allocator<std::vector<DRAMSim::BusPacket*, std::allocator<DRAMSim::BusPacket*> > > > > > >, std::vector<std::vector<DRAMSim::BusPacket*, std::allocator<DRAMSim::BusPacket*> >, std::allocator<std::vector<DRAMSim::BusPacket*, std::allocator<DRAMSim::BusPacket*> > > > const&)':
CommandQueue.cpp:(.text._ZNSt6vectorIS_IS_IPN7DRAMSim9BusPacketESaIS2_EESaIS4_EESaIS6_EE17_M_realloc_insertIJRKS6_EEEvN9__gnu_cxx17__normal_iteratorIPS6_S8_EEDpOT_[_ZNSt6vectorIS_IS_IPN7DRAMSim9BusPacketESaIS2_EESaIS4_EESaIS6_EE17_M_realloc_insertIJRKS6_EEEvN9__gnu_cxx17__normal_iteratorIPS6_S8_EEDpOT_]+0x330): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: CommandQueue.cpp:(.text._ZNSt6vectorIS_IS_IPN7DRAMSim9BusPacketESaIS2_EESaIS4_EESaIS6_EE17_M_realloc_insertIJRKS6_EEEvN9__gnu_cxx17__normal_iteratorIPS6_S8_EEDpOT_[_ZNSt6vectorIS_IS_IPN7DRAMSim9BusPacketESaIS2_EESaIS4_EESaIS6_EE17_M_realloc_insertIJRKS6_EEEvN9__gnu_cxx17__normal_iteratorIPS6_S8_EEDpOT_]+0x395): undefined reference to `std::__throw_bad_array_new_length()'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: CommandQueue.cpp:(.text._ZNSt6vectorIS_IS_IPN7DRAMSim9BusPacketESaIS2_EESaIS4_EESaIS6_EE17_M_realloc_insertIJRKS6_EEEvN9__gnu_cxx17__normal_iteratorIPS6_S8_EEDpOT_[_ZNSt6vectorIS_IS_IPN7DRAMSim9BusPacketESaIS2_EESaIS4_EESaIS6_EE17_M_realloc_insertIJRKS6_EEEvN9__gnu_cxx17__normal_iteratorIPS6_S8_EEDpOT_]+0x429): undefined reference to `std::__throw_bad_array_new_length()'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: CommandQueue.cpp:(.text._ZNSt6vectorIS_IS_IPN7DRAMSim9BusPacketESaIS2_EESaIS4_EESaIS6_EE17_M_realloc_insertIJRKS6_EEEvN9__gnu_cxx17__normal_iteratorIPS6_S8_EEDpOT_[_ZNSt6vectorIS_IS_IPN7DRAMSim9BusPacketESaIS2_EESaIS4_EESaIS6_EE17_M_realloc_insertIJRKS6_EEEvN9__gnu_cxx17__normal_iteratorIPS6_S8_EEDpOT_]+0x4a8): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: CommandQueue.cpp:(.text._ZNSt6vectorIS_IS_IPN7DRAMSim9BusPacketESaIS2_EESaIS4_EESaIS6_EE17_M_realloc_insertIJRKS6_EEEvN9__gnu_cxx17__normal_iteratorIPS6_S8_EEDpOT_[_ZNSt6vectorIS_IS_IPN7DRAMSim9BusPacketESaIS2_EESaIS4_EESaIS6_EE17_M_realloc_insertIJRKS6_EEEvN9__gnu_cxx17__normal_iteratorIPS6_S8_EEDpOT_]+0x4ea): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(CommandQueue.o): in function `std::vector<unsigned int, std::allocator<unsigned int> >* std::__do_uninit_fill_n<std::vector<unsigned int, std::allocator<unsigned int> >*, unsigned long, std::vector<unsigned int, std::allocator<unsigned int> > >(std::vector<unsigned int, std::allocator<unsigned int> >*, unsigned long, std::vector<unsigned int, std::allocator<unsigned int> > const&)':
CommandQueue.cpp:(.text._ZSt18__do_uninit_fill_nIPSt6vectorIjSaIjEEmS2_ET_S4_T0_RKT1_[_ZSt18__do_uninit_fill_nIPSt6vectorIjSaIjEEmS2_ET_S4_T0_RKT1_]+0xd6): undefined reference to `std::__throw_bad_array_new_length()'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: CommandQueue.cpp:(.text._ZSt18__do_uninit_fill_nIPSt6vectorIjSaIjEEmS2_ET_S4_T0_RKT1_[_ZSt18__do_uninit_fill_nIPSt6vectorIjSaIjEEmS2_ET_S4_T0_RKT1_]+0x112): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: mm_dramsim2.o: in function `mm_dramsim2_t::init(unsigned long, int, int)':
mm_dramsim2.cc:(.text+0x11f3): undefined reference to `DRAMSim::getMemorySystemInstance(std::string const&, std::string const&, std::string const&, std::string const&, unsigned int, std::string*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: testchip_tsi.o:(.data.rel.ro._ZTV14testchip_tsi_t[_ZTV14testchip_tsi_t]+0x78): undefined reference to `htif_t::load_payload(std::string const&, unsigned long*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/support/synopsys/synopsys.release/vcs-mx_vK-2015.09/linux64/lib/libuclinative.so: undefined reference to `tgetstr'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/support/synopsys/synopsys.release/vcs-mx_vK-2015.09/linux64/lib/libuclinative.so: undefined reference to `tputs'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/support/synopsys/synopsys.release/vcs-mx_vK-2015.09/linux64/lib/libuclinative.so: undefined reference to `setupterm'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/support/synopsys/synopsys.release/vcs-mx_vK-2015.09/linux64/lib/libuclinative.so: undefined reference to `tgetent'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/support/synopsys/synopsys.release/vcs-mx_vK-2015.09/linux64/lib/libuclinative.so: undefined reference to `tgetflag'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/support/synopsys/synopsys.release/vcs-mx_vK-2015.09/linux64/lib/libuclinative.so: undefined reference to `tgoto'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/support/synopsys/synopsys.release/vcs-mx_vK-2015.09/linux64/lib/libuclinative.so: undefined reference to `tgetnum'
collect2: error: ld returned 1 exit status
make[1]: *** [Makefile:98: product_timestamp] Error 1
make[1]: Leaving directory '/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig/chipyard.TestHarness.TapeoutDoubleMedConfig'
Make exited with status 2
make: *** [Makefile:72: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/simv-chipyard-TapeoutDoubleMedConfig] Error 2
jerryz123 commented 1 year ago

We are on 20.04 VCS. Does that work for you?

noahgaertner commented 1 year ago

For anyone that comes across this in the future (especially from CMU/Using AFS - not sure if this is a config issue specific to the ece machines or not) we fixed it by using chipyard's gcc/g++/ld (by NOT setting any additional flags to the VCS variable in chipyard/sims/vcs/Makefile (other than -full64), using a version of vcs that's 2019 or higher (we tried 2019, 2021, 2022, and 2023 and they all seem to work), and adding -L/usr/lib64 to VCS_LDFLAGS in chipyard/vcs.mk

YiKangOY commented 1 year ago

Also saw chipsalliance/rocket-chip#1377, which suggests gcc-4.8 - We do have that, and it just... explodes the log - I'm not actually sure what the issue is here - it looks like the C++ code is just wrong?

❯ make CONFIG=TapeoutDoubleMedConfig
Running with RISCV=/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools
rm -rf /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig/chipyard.TestHarness.TapeoutDoubleMedConfig
vcs -full64 -cpp /usr/bin/g++ -cc /usr/bin/gcc -CFLAGS " -O3 -std=c++11 -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/include -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2 -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig " -LDFLAGS "-L/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib -Wl,-rpath,/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib -L/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs -L/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2" -lfesvr -ldramsim -notice -line +lint=all,noVCDE,noONGS,noUI -error=PCWM-L -error=noZMMCM -timescale=1ns/10ps -quiet -q +rad +vcs+lic+wait +vc+list -f /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig/sim_files.common.f -sverilog +systemverilogext+.sv+.svi+.svh+.svt -assert svaext +libext+.sv +v2k +verilog2001ext+.v95+.vt+.vp +libext+.v -debug_pp +incdir+/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig/chipyard.TestHarness.TapeoutDoubleMedConfig.top.v /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig/chipyard.TestHarness.TapeoutDoubleMedConfig.harness.v /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig/chipyard.TestHarness.TapeoutDoubleMedConfig.top.mems.v /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig/chipyard.TestHarness.TapeoutDoubleMedConfig.harness.mems.v +define+VCS +define+CLOCK_PERIOD=1.0 +define+RESET_DELAY=777.7 +define+PRINTF_COND=TestDriver.printf_cond +define+STOP_COND=!TestDriver.reset +define+MODEL=TestHarness +define+RANDOMIZE_MEM_INIT +define+RANDOMIZE_REG_INIT +define+RANDOMIZE_GARBAGE_ASSIGN +define+RANDOMIZE_INVALID_ASSIGN +define+FSDB  -o /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/simv-chipyard-TapeoutDoubleMedConfig -Mdir=/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig/chipyard.TestHarness.TapeoutDoubleMedConfig
234 modules and 14 UDPs read.
Generating code for _VCSgd_B3D0s
Generating code for _VCSgd_reYIK
Generating code for _VCSgd_TqLIZ
Generating code for _VCSgd_TykGD
Generating code for _VCSgd_r6AeS
Generating code for _VCSgd_ZZgEu
Generating code for _VCSgd_WB1J1
Generating code for _VCSgd_NKIiI
Generating code for _VCSgd_LSQDD
Generating code for _VCSgd_v190n
Generating code for _VCSgd_ZrfTa
Generating code for _VCSgd_IVz0R
Generating code for _VCSgd_qW2V4
Generating code for _VCSgd_gKdQ7
Generating code for _VCSgd_wk8Rj
Generating code for _VCSgd_xHIqC
Generating code for _VCSgd_Qr2mQ
Generating code for _VCSgd_A9acY
Generating code for _VCSgd_G51V8
Generating code for _VCSgd_GEmJe
Generating code for _VCSgd_SydhY
Generating code for _VCSgd_f6fpd
Generating code for _VCSgd_U2duu
Generating code for _VCSgd_G5D9s
Generating code for _VCSgd_jrwqy
Generating code for _VCSgd_uAhtB
Generating code for _VCSgd_ciB4Z
Generating code for _VCSgd_D1AUt
Generating code for _VCSgd_btvuP
Generating code for _VCSgd_CmqZi
Generating code for _VCSgd_q5N8I
Generating code for _VCSgd_M10kB
Generating code for _VCSgd_UDVfe
Generating code for _VCSgd_B5NBs
Generating code for _VCSgd_zwSxT
Generating code for _VCSgd_YrSMD
Generating code for _VCSgd_LjkNG
Generating code for _VCSgd_quKip
Generating code for _VCSgd_sEmMJ
Generating code for _VCSgd_r7xua
Generating code for _VCSgd_TKKU8
Generating code for _VCSgd_kzdF0
Generating code for _VCSgd_TQLWP
Generating code for _VCSgd_JTzV3
Generating code for _VCSgd_kQ8Gv
Generating code for _VCSgd_ShsuT
Generating code for _VCSgd_GFDEs
Generating code for _VCSgd_Y32M0
Generating code for _VCSgd_HzqsM
Generating code for _VCSgd_MJipY
Generating code for _VCSgd_rG3pi
Generating code for _VCSgd_e8FM0
Generating code for _VCSgd_jRpyI
Generating code for _VCSgd_xRQvs
Generating code for _VCSgd_qtdnH
Generating code for _VCSgd_fjWz2
Generating code for _VCSgd_Rzena
Generating code for _VCSgd_cyMQa
Generating code for _VCSgd_BbqA7
Generating code for _VCSgd_AFz9Z
Generating code for _VCSgd_uQZHk
Generating code for _VCSgd_TRn6G
Generating code for _VCSgd_x1eQk
Generating code for _VCSgd_p6fji
Generating code for _VCSgd_ugbxh
Generating code for _VCSgd_kFtjY
Generating code for _VCSgd_tywyS
Generating code for _VCSgd_sgc7u
Generating code for _VCSgd_JvyRq
Generating code for _VCSgd_CRM9f
Generating code for _VCSgd_QdEW7
Generating code for _VCSgd_wtBp9
Generating code for _VCSgd_i3FJV
Generating code for _VCSgd_f9Rns
Generating code for _VCSgd_iDcwa
Generating code for _VCSgd_M6aiZ
Generating code for _VCSgd_j2EI0
Generating code for _VCSgd_QL0bP
Generating code for _VCSgd_GDGIh
Generating code for _VCSgd_uAGG4
Generating code for _VCSgd_hxjzQ
Generating code for _VCSgd_RZNH3
Generating code for _VCSgd_hxB5H
Generating code for _VCSgd_CdpQ2
Generating code for _VCSgd_e43T8
Generating code for _VCSgd_P4Sv8
Generating code for _VCSgd_q9inp
Generating code for _VCSgd_r6TG4
Generating code for _VCSgd_PwEa1
Generating code for _VCSgd_RqFWA
Generating code for _VCSgd_WSahb
Generating code for _VCSgd_zcImt
Generating code for _VCSgd_xcc8e
Generating code for _VCSgd_cWUVA
Generating code for _VCSgd_FnP5n
Generating code for _VCSgd_nLeuq
Generating code for _VCSgd_VgkSL
Generating code for _VCSgd_bc2BI
Generating code for _VCSgd_Nam3V
Generating code for _VCSgd_d8WY0
Generating code for _VCSgd_CDSPT
Generating code for _VCSgd_ZPgHt
Generating code for _VCSgd_aCZWM
Generating code for _VCSgd_spmcr
Generating code for _VCSgd_mCdpM
Generating code for _VCSgd_ycSIZ
Generating code for _VCSgd_wVMYL
Generating code for _VCSgd_jmjbF
Generating code for _VCSgd_dwGdg
Generating code for _VCSgd_Bkdt3
Generating code for _VCSgd_N6t4e
Generating code for _VCSgd_Nwhgx
Generating code for _VCSgd_N1Z3T
Generating code for _VCSgd_U5x4V
Generating code for _VCSgd_HTun2
Generating code for _VCSgd_it17I
Generating code for _VCSgd_YCUdG
Generating code for _VCSgd_Y3DuF
Generating code for _VCSgd_E1LsQ
Generating code for _VCSgd_aaMQi
Generating code for _VCSgd_nP9fg
Generating code for _VCSgd_uJQu1
Generating code for _VCSgd_NsZAn
Generating code for _VCSgd_qMBxW
Generating code for _VCSgd_g4DSG
Generating code for _VCSgd_kknyu
Generating code for _VCSgd_WI0pS
Generating code for _VCSgd_gia75
Generating code for _VCSgd_w8HM3
Generating code for _VCSgd_q2IzZ
Generating code for _VCSgd_sbnA2
Generating code for _VCSgd_dwde7
Generating code for _VCSgd_bAxDw
Generating code for _VCSgd_yy1tb
Generating code for _VCSgd_u9sQz
Generating code for _VCSgd_sKcQh
Generating code for _VCSgd_gI2eP
Generating code for _VCSgd_WDLRk
Generating code for _VCSgd_jqxYq
Generating code for _VCSgd_u7Wez
Generating code for _VCSgd_V9jC8
Generating code for _VCSgd_b7Bq0
Generating code for _VCSgd_GzAdF
Generating code for _VCSgd_trjvP
Generating code for _VCSgd_Q0m70
Generating code for _VCSgd_rY8z4
Generating code for _VCSgd_auQtz
Generating code for _VCSgd_qu5K0
Generating code for _VCSgd_uSkbg
Generating code for _VCSgd_iSYdy
Generating code for _VCSgd_sS8yA
Generating code for _VCSgd_fad4a
Generating code for _VCSgd_yDQKC
Generating code for _VCSgd_bIQkR
Generating code for _VCSgd_Vmwcg
Generating code for _VCSgd_UAWPw
Generating code for _VCSgd_twi7P
Generating code for _VCSgd_BuLDJ
Generating code for _VCSgd_hVfG8
Generating code for _VCSgd_Hcb7G
Generating code for _VCSgd_sSPdp
Generating code for _VCSgd_hB9um
Generating code for _VCSgd_q2SfT
Generating code for _VCSgd_LxUCQ
Generating code for _VCSgd_RaBgz
Generating code for _VCSgd_umMNe
Generating code for _VCSgd_BTQbm
Generating code for _VCSgd_rY4KG
Generating code for _VCSgd_G0DAG
Generating code for _VCSgd_tB8Jh
Generating code for _VCSgd_dQ5Wn
Generating code for _VCSgd_PtKSc
Generating code for _VCSgd_EbZGT
Generating code for _VCSgd_W3iep
Generating code for _VCSgd_hBdB3
Generating code for _VCSgd_t9iIi
Generating code for _VCSgd_mTsSN
Generating code for _VCSgd_Gn7sf
Generating code for _VCSgd_Ci3YH
Generating code for _VCSgd_xj3w4
Generating code for _VCSgd_gFb1K
Generating code for _VCSgd_ESIbG
Generating code for _VCSgd_iuhL4
Generating code for _VCSgd_vKEFA
Generating code for _VCSgd_ybaRU
Generating code for _VCSgd_JDE9k
Generating code for _VCSgd_JEQLH
Generating code for _VCSgd_edjy0
Generating code for _VCSgd_Fwhu7
Generating code for _VCSgd_fte8y
Generating code for _VCSgd_SspYt
Generating code for _VCSgd_H4pqv
Generating code for _VCSgd_D129d
Generating code for _VCSgd_wp5G0
Generating code for _VCSgd_Lum1u
Generating code for _VCSgd_E2Ejq
Generating code for _VCSgd_LfGLE
Generating code for _VCSgd_aqy6k
Generating code for _VCSgd_fFkRp
Generating code for _VCSgd_TyBqE
Generating code for _VCSgd_mRIyv
Generating code for _VCSgd_mPKYW
Generating code for _VCSgd_GS3AN
Generating code for _VCSgd_JZhEk
Generating code for _VCSgd_BirMk
Generating code for _VCSgd_wKYDz
Generating code for _VCSgd_CkjSZ
Generating code for _VCSgd_rhWMb
Generating code for _VCSgd_VEFqj
Generating code for _VCSgd_ac8Cy
Generating code for _VCSgd_nyPBA
Generating code for _VCSgd_Kis2F
Generating code for _VCSgd_J1ihj
Generating code for _VCSgd_hQ6j6
Generating code for _VCSgd_mb7Va
Generating code for _VCSgd_bfUKV
Generating code for _VCSgd_KnzGN
Generating code for _VCSgd_AnTW7
Generating code for _VCSgd_d4mqC
Generating code for _VCSgd_i1epn
Generating code for _VCSgd_hs2jy
Generating code for _VCSgd_dvh6J
Generating code for _VCSgd_D5zHC
Generating code for _VCSgd_c5S4k
Generating code for _VCSgd_WSNfI
Generating code for _VCSgd_NdgtS
Generating code for _VCSgd_uT4M3
Generating code for _VCSgd_S2r6p
Generating code for _VCSgd_a6vTE
Generating code for _VCSgd_Qegvb
Generating code for _VCSgd_wjwhK
Generating code for _VCSgd_gfJge
Generating code for _VCSgd_LSa5H
Generating code for _VCSgd_RT7zd
Generating code for _VCSgd_Y0ZLI
Generating code for _VCSgd_YP0eM
make[1]: Entering directory '/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig/chipyard.TestHarness.TapeoutDoubleMedConfig'
make[1]: Leaving directory '/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig/chipyard.TestHarness.TapeoutDoubleMedConfig'
make[1]: Entering directory '/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig/chipyard.TestHarness.TapeoutDoubleMedConfig'
/usr/bin/g++ -w  -pipe -fPIC -std=c++11 -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/include -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2 -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig -O3 -I/afs/ece.cmu.edu/support/synopsys/synopsys.release/vcs-mx_vK-2015.09/include    -c /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig/mm.cc
/usr/bin/g++ -w  -pipe -fPIC -std=c++11 -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/include -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2 -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig -O3 -I/afs/ece.cmu.edu/support/synopsys/synopsys.release/vcs-mx_vK-2015.09/include    -c /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig/mm_dramsim2.cc
/usr/bin/g++ -w  -pipe -fPIC -std=c++11 -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/include -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2 -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig -O3 -I/afs/ece.cmu.edu/support/synopsys/synopsys.release/vcs-mx_vK-2015.09/include    -c /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig/remote_bitbang.cc
/usr/bin/g++ -w  -pipe -fPIC -std=c++11 -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/include -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2 -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig -O3 -I/afs/ece.cmu.edu/support/synopsys/synopsys.release/vcs-mx_vK-2015.09/include    -c /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig/SimDRAM.cc
/usr/bin/g++ -w  -pipe -fPIC -std=c++11 -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/include -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2 -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig -O3 -I/afs/ece.cmu.edu/support/synopsys/synopsys.release/vcs-mx_vK-2015.09/include    -c /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig/SimJTAG.cc
/usr/bin/g++ -w  -pipe -fPIC -std=c++11 -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/include -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2 -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig -O3 -I/afs/ece.cmu.edu/support/synopsys/synopsys.release/vcs-mx_vK-2015.09/include    -c /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig/SimSerial.cc
/usr/bin/g++ -w  -pipe -fPIC -std=c++11 -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/include -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2 -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig -O3 -I/afs/ece.cmu.edu/support/synopsys/synopsys.release/vcs-mx_vK-2015.09/include    -c /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig/SimUART.cc
/usr/bin/g++ -w  -pipe -fPIC -std=c++11 -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/include -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2 -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig -O3 -I/afs/ece.cmu.edu/support/synopsys/synopsys.release/vcs-mx_vK-2015.09/include    -c /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig/testchip_tsi.cc
/usr/bin/g++ -w  -pipe -fPIC -std=c++11 -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/include -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2 -I/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig -O3 -I/afs/ece.cmu.edu/support/synopsys/synopsys.release/vcs-mx_vK-2015.09/include    -c /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig/uart.cc
cc1: warning: command line option ‘-std=c++11’ is valid for C++/ObjC++ but not for C [enabled by default]
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: warning: libncurses.so.5, needed by /afs/ece.cmu.edu/support/synopsys/synopsys.release/vcs-mx_vK-2015.09/linux64/lib/libuclinative.so, not found (try using -rpath or -rpath-link)
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(htif.o): in function `bool std::operator==<char, std::char_traits<char>, std::allocator<char> >(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, char const*)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:3601: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::compare(char const*) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(htif.o): in function `void std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_construct<char*>(char*, char*, std::forward_iterator_tag)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.tcc:225: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(htif.o): in function `std::__new_allocator<unsigned long*>::allocate(unsigned long, void const*)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/new_allocator.h:125: undefined reference to `std::__throw_bad_array_new_length()'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(htif.o): in function `void std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_construct<char const*>(char const*, char const*, std::forward_iterator_tag)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.tcc:225: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(htif.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::assign(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1571: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_assign(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(htif.o): in function `htif_t::load_payload(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, unsigned long*)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/htif.cc:94: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::find(char, unsigned long) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(htif.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::assign(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1571: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_assign(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(htif.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::assign(char const*)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1647: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_replace(unsigned long, unsigned long, char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(htif.o): in function `bool std::operator==<char, std::char_traits<char>, std::allocator<char> >(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, char const*)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:3601: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::compare(char const*) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:3601: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::compare(char const*) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:3601: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::compare(char const*) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(htif.o): in function `htif_t::parse_arguments(int, char**)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/htif.cc:264: undefined reference to `std::invalid_argument::invalid_argument(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(htif.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::find(char const*, unsigned long) const':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:2657: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::find(char const*, unsigned long, unsigned long) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:2657: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::find(char const*, unsigned long, unsigned long) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:2657: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::find(char const*, unsigned long, unsigned long) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:2657: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::find(char const*, unsigned long, unsigned long) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:2657: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::find(char const*, unsigned long, unsigned long) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(htif.o):/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:2657: more undefined references to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::find(char const*, unsigned long, unsigned long) const' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(htif.o): in function `htif_t::parse_arguments(int, char**)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/htif.cc:325: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::find(char const*, unsigned long) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/htif.cc:355: undefined reference to `std::invalid_argument::invalid_argument(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/htif.cc:331: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::find(char const*, unsigned long) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(htif.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::assign(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1571: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_assign(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(htif.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > std::operator+<char, std::char_traits<char>, std::allocator<char> >(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >&&, char const*)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:3555: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::append(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:3555: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::basic_string(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >&&)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(htif.o): in function `htif_t::load_payload(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, unsigned long*)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/htif.cc:102: undefined reference to `std::runtime_error::runtime_error(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(htif.o): in function `htif_t::parse_arguments(int, char**)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/htif.cc:327: undefined reference to `std::invalid_argument::invalid_argument(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/htif.cc:333: undefined reference to `std::invalid_argument::invalid_argument(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/htif.cc:271: undefined reference to `std::invalid_argument::invalid_argument(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/htif.cc:290: undefined reference to `std::invalid_argument::invalid_argument(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(htif.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > std::operator+<char, std::char_traits<char>, std::allocator<char> >(char const*, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.tcc:618: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::reserve(unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(htif.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::append(char const*, unsigned long)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1422: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1422: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(memif.o): in function `memif_t::write_uint16(unsigned long, target_endian<unsigned short>)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/memif.cc:133: undefined reference to `std::runtime_error::runtime_error(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(memif.o): in function `memif_t::write_int16(unsigned long, target_endian<short>)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/memif.cc:138: undefined reference to `std::runtime_error::runtime_error(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(memif.o): in function `memif_t::write_uint32(unsigned long, target_endian<unsigned int>)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/memif.cc:155: undefined reference to `std::runtime_error::runtime_error(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(memif.o): in function `memif_t::write_int32(unsigned long, target_endian<int>)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/memif.cc:160: undefined reference to `std::runtime_error::runtime_error(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(memif.o): in function `memif_t::write_uint64(unsigned long, target_endian<unsigned long>)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/memif.cc:177: undefined reference to `std::runtime_error::runtime_error(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(memif.o):/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/memif.cc:182: more undefined references to `std::runtime_error::runtime_error(char const*)' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(syscall.o): in function `void std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_construct<char const*>(char const*, char const*, std::forward_iterator_tag)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.tcc:225: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(syscall.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::append(char const*)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1437: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(syscall.o): in function `void std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_construct<char*>(char*, char*, std::forward_iterator_tag)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.tcc:225: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(syscall.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::assign(char const*)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1647: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_replace(unsigned long, unsigned long, char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(syscall.o): in function `std::__new_allocator<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > >::allocate(unsigned long, void const*)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/new_allocator.h:125: undefined reference to `std::__throw_bad_array_new_length()'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(syscall.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::replace(unsigned long, unsigned long, char const*, unsigned long)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:2171: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_replace(unsigned long, unsigned long, char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(syscall.o): in function `syscall_t::dispatch(unsigned long)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/syscall.cc:455: undefined reference to `std::runtime_error::runtime_error(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(syscall.o): in function `syscall_t::syscall_t(htif_t*)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/syscall.cc:170: undefined reference to `std::runtime_error::runtime_error(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(syscall.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::basic_string(unsigned long, char, std::allocator<char> const&)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:659: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_construct(unsigned long, char)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:659: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_construct(unsigned long, char)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:659: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_construct(unsigned long, char)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(syscall.o): in function `void std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_construct<char*>(char*, char*, std::forward_iterator_tag)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.tcc:225: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(device.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::basic_string(unsigned long, char, std::allocator<char> const&)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:659: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_construct(unsigned long, char)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(device.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::replace(unsigned long, unsigned long, char const*, unsigned long)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:2171: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_replace(unsigned long, unsigned long, char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(device.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::assign(char const*)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1647: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_replace(unsigned long, unsigned long, char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(device.o): in function `void std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_construct<char const*>(char const*, char const*, std::forward_iterator_tag)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.tcc:225: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.tcc:243: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_S_copy_chars(char*, char const*, char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(device.o): in function `disk_t::disk_t(char const*)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/device.cc:96: undefined reference to `std::runtime_error::runtime_error(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/device.cc:89: undefined reference to `std::runtime_error::runtime_error(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(device.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > std::operator+<char, std::char_traits<char>, std::allocator<char> >(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >&&, char const*)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:3555: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::append(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:3555: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::basic_string(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >&&)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(device.o): in function `disk_t::handle_read(command_t)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/device.cc:114: undefined reference to `std::runtime_error::runtime_error(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(device.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > std::operator+<char, std::char_traits<char>, std::allocator<char> >(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >&&, char const*)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:3555: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::append(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:3555: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::basic_string(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >&&)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(device.o): in function `disk_t::handle_write(command_t)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/device.cc:129: undefined reference to `std::runtime_error::runtime_error(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(device.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::append(char const*, unsigned long)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1422: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(device.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::replace(unsigned long, unsigned long, char const*, unsigned long)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:2171: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_replace(unsigned long, unsigned long, char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:2171: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_replace(unsigned long, unsigned long, char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(device.o): in function `std::__new_allocator<command_t*>::allocate(unsigned long, void const*)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/new_allocator.h:125: undefined reference to `std::__throw_bad_array_new_length()'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(rfb.o): in function `void std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_construct<char const*>(char const*, char const*, std::forward_iterator_tag)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.tcc:225: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(rfb.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::append(char const*, unsigned long)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1422: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1422: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1422: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1422: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1422: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(rfb.o):/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1422: more undefined references to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(rfb.o): in function `rfb_t::set_pixel_format(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/rfb.cc:119: undefined reference to `std::runtime_error::runtime_error(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(rfb.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_check(unsigned long, char const*) const':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:382: undefined reference to `std::__throw_out_of_range_fmt(char const*, ...)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(rfb.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::append(char const*, unsigned long)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1422: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1422: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1422: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1422: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1422: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(rfb.o):/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1422: more undefined references to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(rfb.o): in function `rfb_t::thread_main()':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/rfb.cc:57: undefined reference to `std::runtime_error::runtime_error(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(rfb.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::append(char const*, unsigned long)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1422: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1422: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1422: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1422: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:1422: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(rfb.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::basic_string(unsigned long, char, std::allocator<char> const&)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:659: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_construct(unsigned long, char)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:659: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_construct(unsigned long, char)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:659: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_construct(unsigned long, char)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(rfb.o): in function `rfb_t::write(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/rfb.cc:187: undefined reference to `std::runtime_error::runtime_error(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(rfb.o): in function `rfb_t::read[abi:cxx11]()':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/rfb.cc:195: undefined reference to `std::runtime_error::runtime_error(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/rfb.cc:197: undefined reference to `std::runtime_error::runtime_error(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(rfb.o): in function `rfb_t::handle_configure(command_t)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/rfb.cc:219: undefined reference to `std::runtime_error::runtime_error(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/rfb.cc:214: undefined reference to `std::runtime_error::runtime_error(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/rfb.cc:211: undefined reference to `std::runtime_error::runtime_error(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/rfb.cc:204: undefined reference to `std::runtime_error::runtime_error(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(rfb.o): in function `rfb_t::thread_main()':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/rfb.cc:52: undefined reference to `std::runtime_error::runtime_error(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/rfb.cc:47: undefined reference to `std::runtime_error::runtime_error(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/rfb.cc:38: undefined reference to `std::runtime_error::runtime_error(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/rfb.cc:45: undefined reference to `std::runtime_error::runtime_error(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(rfb.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > std::operator+<char, std::char_traits<char>, std::allocator<char> >(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >&&, char const*)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:3555: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::append(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.h:3555: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::basic_string(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >&&)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(rfb.o): in function `rfb_t::handle_set_address(command_t)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/rfb.cc:227: undefined reference to `std::runtime_error::runtime_error(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(tsi.o): in function `std::__new_allocator<unsigned int*>::allocate(unsigned long, void const*)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/new_allocator.h:125: undefined reference to `std::__throw_bad_array_new_length()'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(elfloader.o): in function `void std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_construct<char const*>(char const*, char const*, std::forward_iterator_tag)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/x86_64-conda-linux-gnu/include/c++/12.1.0/bits/basic_string.tcc:225: undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/riscv-tools/lib/libfesvr.a(elfloader.o): in function `load_elf[abi:cxx11](char const*, memif_t*, unsigned long*)':
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/toolchains/riscv-tools/riscv-isa-sim/build/../fesvr/elfloader.cc:104: undefined reference to `std::invalid_argument::invalid_argument(char const*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o): in function `DRAMSim::MultiChannelMemorySystem::~MultiChannelMemorySystem()':
MultiChannelMemorySystem.cpp:(.text+0xd0): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0xf3): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x116): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x139): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x154): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o): in function `FilenameWithNumberSuffix(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, unsigned int)':
MultiChannelMemorySystem.cpp:(.text+0xe5c): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0xea3): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0xf12): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0xf21): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0xf72): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0xf95): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0xfa4): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o):MultiChannelMemorySystem.cpp:(.text+0xfab): more undefined references to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o): in function `FilenameWithNumberSuffix(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, unsigned int)':
MultiChannelMemorySystem.cpp:(.text+0xfb2): undefined reference to `vtable for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1029): undefined reference to `vtable for std::__cxx11::basic_stringbuf<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1119): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x11e5): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1200): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x121c): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1237): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_assign(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x12cc): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_replace(unsigned long, unsigned long, char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x12e2): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_replace(unsigned long, unsigned long, char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x140e): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_assign(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x14eb): undefined reference to `vtable for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1539): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1559): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1560): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1567): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x156e): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1586): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o):MultiChannelMemorySystem.cpp:(.text+0x15a1): more undefined references to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o): in function `DRAMSim::MultiChannelMemorySystem::InitOutputFiles(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >)':
MultiChannelMemorySystem.cpp:(.text+0x173d): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1760): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x179b): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::find_last_of(char const*, unsigned long, unsigned long) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1857): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::reserve(unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1888): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x18bc): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x18ed): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1953): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x197a): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x19c1): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x19e0): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1a2f): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1a36): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1a5b): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o):MultiChannelMemorySystem.cpp:(.text+0x1a6a): more undefined references to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o): in function `DRAMSim::MultiChannelMemorySystem::InitOutputFiles(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >)':
MultiChannelMemorySystem.cpp:(.text+0x1a9f): undefined reference to `vtable for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1af4): undefined reference to `vtable for std::__cxx11::basic_stringbuf<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1b7a): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1bd1): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1bee): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1bfd): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1c04): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1c29): undefined reference to `vtable for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1c79): undefined reference to `vtable for std::__cxx11::basic_stringbuf<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1db5): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_replace(unsigned long, unsigned long, char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1e43): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1f1f): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1f3d): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x1f72): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x2061): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x2084): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x208b): undefined reference to `vtable for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x20d0): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x20f0): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x20fc): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x210f): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x2116): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x2135): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x216c): undefined reference to `vtable for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x21b1): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x21d1): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x21dd): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x21e4): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x21f7): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x21fe): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x22ca): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x2417): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_replace(unsigned long, unsigned long, char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x24ae): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::compare(char const*) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x24cf): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x25b8): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x25e8): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::find_last_of(char const*, unsigned long, unsigned long) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x26ea): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x2736): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::find_last_of(char const*, unsigned long, unsigned long) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x2831): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x28d1): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x2906): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x29e0): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x29fe): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x2a73): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x2ac0): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x2b9d): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x2bc3): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x2c3d): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x2c82): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x2d5a): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x2d78): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x2df2): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x2fec): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x300a): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o):MultiChannelMemorySystem.cpp:(.text+0x3034): more undefined references to `operator delete(void*, unsigned long)' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o): in function `DRAMSim::MultiChannelMemorySystem::InitOutputFiles(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >)':
MultiChannelMemorySystem.cpp:(.text+0x31be): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_assign(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x3235): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_replace(unsigned long, unsigned long, char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x3250): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x32b2): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_replace(unsigned long, unsigned long, char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x32cd): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x3320): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x336d): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x34d8): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x3620): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o):MultiChannelMemorySystem.cpp:(.text+0x366d): more undefined references to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o): in function `DRAMSim::MultiChannelMemorySystem::InitOutputFiles(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >)':
MultiChannelMemorySystem.cpp:(.text+0x3a68): undefined reference to `std::__throw_out_of_range_fmt(char const*, ...)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x3b53): undefined reference to `std::__throw_out_of_range_fmt(char const*, ...)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x3b92): undefined reference to `std::__throw_out_of_range_fmt(char const*, ...)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x3bad): undefined reference to `std::__throw_out_of_range_fmt(char const*, ...)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o): in function `DRAMSim::MultiChannelMemorySystem::MultiChannelMemorySystem(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, unsigned int, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >*, std::map<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, std::less<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > >, std::allocator<std::pair<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > > > > const*)':
MultiChannelMemorySystem.cpp:(.text+0x4245): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x4320): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x4448): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x448b): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x44d0): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x451b): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x4638): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x4666): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x4721): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x473d): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x47bc): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x47ea): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x48a5): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x48c1): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x493b): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x497b): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x4a88): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x4ac3): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o): in function `DRAMSim::MultiChannelMemorySystem::actual_update()':
MultiChannelMemorySystem.cpp:(.text+0x4e82): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x51cb): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text+0x52af): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o): in function `DRAMSim::MultiChannelMemorySystem::printStats(bool)':
MultiChannelMemorySystem.cpp:(.text+0x57aa): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o): in function `DRAMSim::MultiChannelMemorySystem::~MultiChannelMemorySystem()':
MultiChannelMemorySystem.cpp:(.text+0x2c3): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o): in function `DRAMSim::MultiChannelMemorySystem::addTransaction(DRAMSim::Transaction const&) [clone .cold]':
MultiChannelMemorySystem.cpp:(.text.unlikely+0x27): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o): in function `FilenameWithNumberSuffix(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, unsigned int) [clone .cold]':
MultiChannelMemorySystem.cpp:(.text.unlikely+0x36): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x3d): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x8e): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0xad): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0xd0): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0xec): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0xf6): undefined reference to `std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >::~basic_stringstream()'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x10a): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x111): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x124): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x12b): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x146): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o):MultiChannelMemorySystem.cpp:(.text.unlikely+0x14d): more undefined references to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o): in function `FilenameWithNumberSuffix(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, unsigned int) [clone .cold]':
MultiChannelMemorySystem.cpp:(.text.unlikely+0x190): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o): in function `DRAMSim::MultiChannelMemorySystem::InitOutputFiles(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >) [clone .cold]':
MultiChannelMemorySystem.cpp:(.text.unlikely+0x1b4): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x1d2): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x1f8): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x21b): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x236): undefined reference to `std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >::~basic_stringstream()'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x243): undefined reference to `std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >::~basic_stringstream()'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x261): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x284): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x29d): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x2c1): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x2e9): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o):MultiChannelMemorySystem.cpp:(.text.unlikely+0x310): more undefined references to `operator delete(void*, unsigned long)' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o): in function `DRAMSim::MultiChannelMemorySystem::InitOutputFiles(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >) [clone .cold]':
MultiChannelMemorySystem.cpp:(.text.unlikely+0x324): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x32b): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x341): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x348): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x367): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o):MultiChannelMemorySystem.cpp:(.text.unlikely+0x3a8): more undefined references to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o): in function `DRAMSim::MultiChannelMemorySystem::InitOutputFiles(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >) [clone .cold]':
MultiChannelMemorySystem.cpp:(.text.unlikely+0x48e): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x4b5): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x4c5): undefined reference to `VTT for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o): in function `DRAMSim::MultiChannelMemorySystem::MultiChannelMemorySystem(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, unsigned int, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >*, std::map<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, std::less<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > >, std::allocator<std::pair<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > > > > const*) [clone .cold]':
MultiChannelMemorySystem.cpp:(.text.unlikely+0x4f6): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x514): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x532): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x550): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text.unlikely+0x56e): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o):MultiChannelMemorySystem.cpp:(.text.unlikely+0x5bc): more undefined references to `operator delete(void*, unsigned long)' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > std::operator+<char, std::char_traits<char>, std::allocator<char> >(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)':
MultiChannelMemorySystem.cpp:(.text._ZStplIcSt11char_traitsIcESaIcEENSt7__cxx1112basic_stringIT_T0_T1_EERKS8_SA_[_ZStplIcSt11char_traitsIcESaIcEENSt7__cxx1112basic_stringIT_T0_T1_EERKS8_SA_]+0x63): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_append(char const*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text._ZStplIcSt11char_traitsIcESaIcEENSt7__cxx1112basic_stringIT_T0_T1_EERKS8_SA_[_ZStplIcSt11char_traitsIcESaIcEENSt7__cxx1112basic_stringIT_T0_T1_EERKS8_SA_]+0x98): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text._ZStplIcSt11char_traitsIcESaIcEENSt7__cxx1112basic_stringIT_T0_T1_EERKS8_SA_[_ZStplIcSt11char_traitsIcESaIcEENSt7__cxx1112basic_stringIT_T0_T1_EERKS8_SA_]+0xe8): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o): in function `std::__cxx11::basic_stringbuf<char, std::char_traits<char>, std::allocator<char> >::~basic_stringbuf()':
MultiChannelMemorySystem.cpp:(.text._ZNSt7__cxx1115basic_stringbufIcSt11char_traitsIcESaIcEED2Ev[_ZNSt7__cxx1115basic_stringbufIcSt11char_traitsIcESaIcEED5Ev]+0x3): undefined reference to `vtable for std::__cxx11::basic_stringbuf<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text._ZNSt7__cxx1115basic_stringbufIcSt11char_traitsIcESaIcEED2Ev[_ZNSt7__cxx1115basic_stringbufIcSt11char_traitsIcESaIcEED5Ev]+0x24): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o): in function `std::__cxx11::basic_stringbuf<char, std::char_traits<char>, std::allocator<char> >::~basic_stringbuf()':
MultiChannelMemorySystem.cpp:(.text._ZNSt7__cxx1115basic_stringbufIcSt11char_traitsIcESaIcEED0Ev[_ZNSt7__cxx1115basic_stringbufIcSt11char_traitsIcESaIcEED5Ev]+0x3): undefined reference to `vtable for std::__cxx11::basic_stringbuf<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text._ZNSt7__cxx1115basic_stringbufIcSt11char_traitsIcESaIcEED0Ev[_ZNSt7__cxx1115basic_stringbufIcSt11char_traitsIcESaIcEED5Ev]+0x24): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MultiChannelMemorySystem.cpp:(.text._ZNSt7__cxx1115basic_stringbufIcSt11char_traitsIcESaIcEED0Ev[_ZNSt7__cxx1115basic_stringbufIcSt11char_traitsIcESaIcEED5Ev]+0x45): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o): in function `void std::vector<DRAMSim::MemorySystem*, std::allocator<DRAMSim::MemorySystem*> >::_M_realloc_insert<DRAMSim::MemorySystem* const&>(__gnu_cxx::__normal_iterator<DRAMSim::MemorySystem**, std::vector<DRAMSim::MemorySystem*, std::allocator<DRAMSim::MemorySystem*> > >, DRAMSim::MemorySystem* const&)':
MultiChannelMemorySystem.cpp:(.text._ZNSt6vectorIPN7DRAMSim12MemorySystemESaIS2_EE17_M_realloc_insertIJRKS2_EEEvN9__gnu_cxx17__normal_iteratorIPS2_S4_EEDpOT_[_ZNSt6vectorIPN7DRAMSim12MemorySystemESaIS2_EE17_M_realloc_insertIJRKS2_EEEvN9__gnu_cxx17__normal_iteratorIPS2_S4_EEDpOT_]+0xd6): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o):(.data.rel.ro+0x0): undefined reference to `vtable for std::__cxx11::basic_stringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MultiChannelMemorySystem.o):(.data.rel.ro+0x10): undefined reference to `vtable for std::__cxx11::basic_stringbuf<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(IniReader.o): in function `__tcf_0':
IniReader.cpp:(.text+0x29): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(IniReader.o): in function `DRAMSim::IniReader::InitEnumsFromStrings()':
IniReader.cpp:(.text+0xb28): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::compare(char const*) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0xb5d): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::compare(char const*) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0xb9e): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::compare(char const*) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0xbdf): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::compare(char const*) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0xc23): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::compare(char const*) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(IniReader.o):IniReader.cpp:(.text+0xcab): more undefined references to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::compare(char const*) const' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(IniReader.o): in function `DRAMSim::IniReader::SetKey(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, bool, unsigned long)':
IniReader.cpp:(.text+0x137d): undefined reference to `VTT for std::__cxx11::basic_istringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x13f7): undefined reference to `std::__cxx11::basic_stringbuf<char, std::char_traits<char>, std::allocator<char> >::_M_sync(char*, unsigned long, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x1455): undefined reference to `vtable for std::__cxx11::basic_istringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x1478): undefined reference to `vtable for std::__cxx11::basic_stringbuf<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x1496): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x14bc): undefined reference to `VTT for std::__cxx11::basic_istringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x152b): undefined reference to `VTT for std::__cxx11::basic_istringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x1584): undefined reference to `vtable for std::__cxx11::basic_istringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x160e): undefined reference to `vtable for std::__cxx11::basic_stringbuf<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x1666): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x17c4): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x1962): undefined reference to `vtable for std::__cxx11::basic_istringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x197d): undefined reference to `vtable for std::__cxx11::basic_stringbuf<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x199b): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x19bd): undefined reference to `VTT for std::__cxx11::basic_istringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x1d95): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::compare(char const*) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x20ef): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::compare(char const*) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x222d): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(IniReader.o): in function `DRAMSim::IniReader::OverrideKeys(std::map<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, std::less<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > >, std::allocator<std::pair<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > > > > const*)':
IniReader.cpp:(.text+0x2585): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x25a3): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x25bb): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x25d3): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x27f0): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x2840): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x288d): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x28e6): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(IniReader.o): in function `DRAMSim::IniReader::ReadIniFile(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, bool)':
IniReader.cpp:(.text+0x2b62): undefined reference to `std::basic_istream<char, std::char_traits<char> >& std::getline<char, std::char_traits<char>, std::allocator<char> >(std::basic_istream<char, std::char_traits<char> >&, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >&, char)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x2b93): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::find_first_of(char const*, unsigned long, unsigned long) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x2c8e): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x2ca9): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::find_last_not_of(char const*, unsigned long, unsigned long) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x2d9c): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x2db2): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::find_first_of(char const*, unsigned long, unsigned long) const'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x2eb3): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x2fb2): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x308d): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x30ab): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x3189): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x31e8): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x323d): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x328d): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x33ae): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(IniReader.o):IniReader.cpp:(.text+0x33fe): more undefined references to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(IniReader.o): in function `DRAMSim::IniReader::ReadIniFile(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, bool)':
IniReader.cpp:(.text+0x36f5): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x3718): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x3738): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text+0x3b9a): undefined reference to `std::__throw_out_of_range_fmt(char const*, ...)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(IniReader.o): in function `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::basic_string<std::allocator<char> >(char const*, std::allocator<char> const&) [clone .constprop.0]':
IniReader.cpp:(.text.startup+0x6d): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(IniReader.o): in function `_GLOBAL__sub_I_TOTAL_STORAGE':
IniReader.cpp:(.text.startup+0xf8): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::~basic_string()'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(IniReader.o): in function `DRAMSim::IniReader::SetKey(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, bool, unsigned long) [clone .cold]':
IniReader.cpp:(.text.unlikely+0x6): undefined reference to `std::__cxx11::basic_istringstream<char, std::char_traits<char>, std::allocator<char> >::~basic_istringstream()'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text.unlikely+0x15): undefined reference to `vtable for std::__cxx11::basic_stringbuf<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text.unlikely+0x4c): undefined reference to `VTT for std::__cxx11::basic_istringstream<char, std::char_traits<char>, std::allocator<char> >'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text.unlikely+0x98): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(IniReader.o): in function `DRAMSim::IniReader::OverrideKeys(std::map<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, std::less<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > >, std::allocator<std::pair<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > > > > const*) [clone .cold]':
IniReader.cpp:(.text.unlikely+0xb8): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text.unlikely+0xd6): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text.unlikely+0xee): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: IniReader.cpp:(.text.unlikely+0x106): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(IniReader.o):IniReader.cpp:(.text.unlikely+0x12c): more undefined references to `operator delete(void*, unsigned long)' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MemorySystem.o): in function `void std::deque<DRAMSim::Transaction*, std::allocator<DRAMSim::Transaction*> >::_M_push_back_aux<DRAMSim::Transaction* const&>(DRAMSim::Transaction* const&)':
MemorySystem.cpp:(.text._ZNSt5dequeIPN7DRAMSim11TransactionESaIS2_EE16_M_push_back_auxIJRKS2_EEEvDpOT_[_ZNSt5dequeIPN7DRAMSim11TransactionESaIS2_EE16_M_push_back_auxIJRKS2_EEEvDpOT_]+0x207): undefined reference to `std::__throw_bad_array_new_length()'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(Rank.o): in function `DRAMSim::Rank::~Rank()':
Rank.cpp:(.text+0x2f): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: Rank.cpp:(.text+0x66): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: Rank.cpp:(.text+0x81): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: Rank.cpp:(.text+0xad): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: Rank.cpp:(.text+0xcd): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(Rank.o):Rank.cpp:(.text+0xe2): more undefined references to `operator delete(void*, unsigned long)' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(Rank.o): in function `DRAMSim::Bank* std::__do_uninit_fill_n<DRAMSim::Bank*, unsigned long, DRAMSim::Bank>(DRAMSim::Bank*, unsigned long, DRAMSim::Bank const&)':
Rank.cpp:(.text._ZSt18__do_uninit_fill_nIPN7DRAMSim4BankEmS1_ET_S3_T0_RKT1_[_ZSt18__do_uninit_fill_nIPN7DRAMSim4BankEmS1_ET_S3_T0_RKT1_]+0xfe): undefined reference to `std::__throw_bad_array_new_length()'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: Rank.cpp:(.text._ZSt18__do_uninit_fill_nIPN7DRAMSim4BankEmS1_ET_S3_T0_RKT1_[_ZSt18__do_uninit_fill_nIPN7DRAMSim4BankEmS1_ET_S3_T0_RKT1_]+0x12b): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(Rank.o): in function `DRAMSim::Rank::Rank(std::ostream&) [clone .cold]':
Rank.cpp:(.text.unlikely+0x14): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: Rank.cpp:(.text.unlikely+0x2b): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: Rank.cpp:(.text.unlikely+0x40): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: Rank.cpp:(.text.unlikely+0x55): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(Rank.o):Rank.cpp:(.text.unlikely+0x84): more undefined references to `operator delete(void*, unsigned long)' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MemoryController.o): in function `DRAMSim::MemoryController::printStats(bool)':
MemoryController.cpp:(.text+0x1c80): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text+0x1cf0): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text+0x231b): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text+0x236b): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text+0x2d6a): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text+0x2dc2): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text+0x2ee5): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text+0x2f5b): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text+0x300d): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text+0x3262): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text+0x32a6): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text+0x32ea): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text+0x332e): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MemoryController.o):MemoryController.cpp:(.text+0x3372): more undefined references to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MemoryController.o): in function `DRAMSim::MemoryController::insertHistogram(unsigned int, unsigned int, unsigned int)':
MemoryController.cpp:(.text+0x3cec): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MemoryController.o): in function `DRAMSim::MemoryController::update()':
MemoryController.cpp:(.text+0x426a): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text+0x42ba): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text+0x4c8e): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MemoryController.o): in function `DRAMSim::MemoryController::MemoryController(DRAMSim::MemorySystem*, DRAMSim::CSVWriter&, std::ostream&)':
MemoryController.cpp:(.text+0x6375): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MemoryController.o):MemoryController.cpp:(.text+0x656b): more undefined references to `operator delete(void*, unsigned long)' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MemoryController.o): in function `DRAMSim::CSVWriter::IndexedName::IndexedName(char const*, unsigned int, unsigned int)':
MemoryController.cpp:(.text._ZN7DRAMSim9CSVWriter11IndexedNameC2EPKcjj[_ZN7DRAMSim9CSVWriter11IndexedNameC5EPKcjj]+0x143): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text._ZN7DRAMSim9CSVWriter11IndexedNameC2EPKcjj[_ZN7DRAMSim9CSVWriter11IndexedNameC5EPKcjj]+0x36d): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MemoryController.o): in function `std::vector<unsigned int, std::allocator<unsigned int> >::reserve(unsigned long)':
MemoryController.cpp:(.text._ZNSt6vectorIjSaIjEE7reserveEm[_ZNSt6vectorIjSaIjEE7reserveEm]+0x96): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MemoryController.o): in function `void std::vector<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, std::allocator<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > > >::_M_realloc_insert<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&>(__gnu_cxx::__normal_iterator<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >*, std::vector<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, std::allocator<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > > > >, std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)':
MemoryController.cpp:(.text._ZNSt6vectorINSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEESaIS5_EE17_M_realloc_insertIJRKS5_EEEvN9__gnu_cxx17__normal_iteratorIPS5_S7_EEDpOT_[_ZNSt6vectorINSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEESaIS5_EE17_M_realloc_insertIJRKS5_EEEvN9__gnu_cxx17__normal_iteratorIPS5_S7_EEDpOT_]+0x203): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text._ZNSt6vectorINSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEESaIS5_EE17_M_realloc_insertIJRKS5_EEEvN9__gnu_cxx17__normal_iteratorIPS5_S7_EEDpOT_[_ZNSt6vectorINSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEESaIS5_EE17_M_realloc_insertIJRKS5_EEEvN9__gnu_cxx17__normal_iteratorIPS5_S7_EEDpOT_]+0x26d): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text._ZNSt6vectorINSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEESaIS5_EE17_M_realloc_insertIJRKS5_EEEvN9__gnu_cxx17__normal_iteratorIPS5_S7_EEDpOT_[_ZNSt6vectorINSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEESaIS5_EE17_M_realloc_insertIJRKS5_EEEvN9__gnu_cxx17__normal_iteratorIPS5_S7_EEDpOT_]+0x2f5): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text._ZNSt6vectorINSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEESaIS5_EE17_M_realloc_insertIJRKS5_EEEvN9__gnu_cxx17__normal_iteratorIPS5_S7_EEDpOT_[_ZNSt6vectorINSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEESaIS5_EE17_M_realloc_insertIJRKS5_EEEvN9__gnu_cxx17__normal_iteratorIPS5_S7_EEDpOT_]+0x316): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MemoryController.o): in function `std::vector<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >, std::allocator<std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > > >::push_back(std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> > const&)':
MemoryController.cpp:(.text._ZNSt6vectorINSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEESaIS5_EE9push_backERKS5_[_ZNSt6vectorINSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEESaIS5_EE9push_backERKS5_]+0x6b): undefined reference to `std::__cxx11::basic_string<char, std::char_traits<char>, std::allocator<char> >::_M_create(unsigned long&, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MemoryController.o): in function `DRAMSim::MemoryController::printStats(bool) [clone .cold]':
MemoryController.cpp:(.text.unlikely+0xe): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text.unlikely+0x2d): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text.unlikely+0x58): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text.unlikely+0x75): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text.unlikely+0x94): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MemoryController.o):MemoryController.cpp:(.text.unlikely+0xb4): more undefined references to `operator delete(void*, unsigned long)' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(MemoryController.o): in function `std::vector<DRAMSim::BankState, std::allocator<DRAMSim::BankState> >* std::__do_uninit_fill_n<std::vector<DRAMSim::BankState, std::allocator<DRAMSim::BankState> >*, unsigned long, std::vector<DRAMSim::BankState, std::allocator<DRAMSim::BankState> > >(std::vector<DRAMSim::BankState, std::allocator<DRAMSim::BankState> >*, unsigned long, std::vector<DRAMSim::BankState, std::allocator<DRAMSim::BankState> > const&)':
MemoryController.cpp:(.text._ZSt18__do_uninit_fill_nIPSt6vectorIN7DRAMSim9BankStateESaIS2_EEmS4_ET_S6_T0_RKT1_[_ZSt18__do_uninit_fill_nIPSt6vectorIN7DRAMSim9BankStateESaIS2_EEmS4_ET_S6_T0_RKT1_]+0xf5): undefined reference to `std::__throw_bad_array_new_length()'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: MemoryController.cpp:(.text._ZSt18__do_uninit_fill_nIPSt6vectorIN7DRAMSim9BankStateESaIS2_EEmS4_ET_S6_T0_RKT1_[_ZSt18__do_uninit_fill_nIPSt6vectorIN7DRAMSim9BankStateESaIS2_EEmS4_ET_S6_T0_RKT1_]+0x121): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(CommandQueue.o): in function `DRAMSim::CommandQueue::~CommandQueue()':
CommandQueue.cpp:(.text+0x97): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: CommandQueue.cpp:(.text+0x110): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: CommandQueue.cpp:(.text+0x12d): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: CommandQueue.cpp:(.text+0x158): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(CommandQueue.o):CommandQueue.cpp:(.text+0x175): more undefined references to `operator delete(void*, unsigned long)' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(CommandQueue.o): in function `DRAMSim::CommandQueue::CommandQueue(std::vector<std::vector<DRAMSim::BankState, std::allocator<DRAMSim::BankState> >, std::allocator<std::vector<DRAMSim::BankState, std::allocator<DRAMSim::BankState> > > >&, std::ostream&)':
CommandQueue.cpp:(.text+0x26d0): undefined reference to `std::__throw_bad_array_new_length()'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: CommandQueue.cpp:(.text+0x2707): undefined reference to `std::__throw_bad_array_new_length()'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(CommandQueue.o): in function `DRAMSim::CommandQueue::~CommandQueue()':
CommandQueue.cpp:(.text+0x201): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(CommandQueue.o): in function `DRAMSim::CommandQueue::~CommandQueue()':
CommandQueue.cpp:(.text+0x283): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(CommandQueue.o): in function `DRAMSim::CommandQueue::CommandQueue(std::vector<std::vector<DRAMSim::BankState, std::allocator<DRAMSim::BankState> >, std::allocator<std::vector<DRAMSim::BankState, std::allocator<DRAMSim::BankState> > > >&, std::ostream&)':
CommandQueue.cpp:(.text+0x2602): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(CommandQueue.o): in function `std::vector<std::vector<unsigned int, std::allocator<unsigned int> >, std::allocator<std::vector<unsigned int, std::allocator<unsigned int> > > >::~vector()':
CommandQueue.cpp:(.text._ZNSt6vectorIS_IjSaIjEESaIS1_EED2Ev[_ZNSt6vectorIS_IjSaIjEESaIS1_EED5Ev]+0x2c): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: CommandQueue.cpp:(.text._ZNSt6vectorIS_IjSaIjEESaIS1_EED2Ev[_ZNSt6vectorIS_IjSaIjEESaIS1_EED5Ev]+0x4e): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(CommandQueue.o):CommandQueue.cpp:(.text._ZNSt6vectorIS_IPN7DRAMSim9BusPacketESaIS2_EESaIS4_EED2Ev[_ZNSt6vectorIS_IPN7DRAMSim9BusPacketESaIS2_EESaIS4_EED5Ev]+0x2c): more undefined references to `operator delete(void*, unsigned long)' follow
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(CommandQueue.o): in function `void std::vector<std::vector<DRAMSim::BusPacket*, std::allocator<DRAMSim::BusPacket*> >, std::allocator<std::vector<DRAMSim::BusPacket*, std::allocator<DRAMSim::BusPacket*> > > >::_M_realloc_insert<std::vector<DRAMSim::BusPacket*, std::allocator<DRAMSim::BusPacket*> > const&>(__gnu_cxx::__normal_iterator<std::vector<DRAMSim::BusPacket*, std::allocator<DRAMSim::BusPacket*> >*, std::vector<std::vector<DRAMSim::BusPacket*, std::allocator<DRAMSim::BusPacket*> >, std::allocator<std::vector<DRAMSim::BusPacket*, std::allocator<DRAMSim::BusPacket*> > > > >, std::vector<DRAMSim::BusPacket*, std::allocator<DRAMSim::BusPacket*> > const&)':
CommandQueue.cpp:(.text._ZNSt6vectorIS_IPN7DRAMSim9BusPacketESaIS2_EESaIS4_EE17_M_realloc_insertIJRKS4_EEEvN9__gnu_cxx17__normal_iteratorIPS4_S6_EEDpOT_[_ZNSt6vectorIS_IPN7DRAMSim9BusPacketESaIS2_EESaIS4_EE17_M_realloc_insertIJRKS4_EEEvN9__gnu_cxx17__normal_iteratorIPS4_S6_EEDpOT_]+0x371): undefined reference to `std::__throw_bad_array_new_length()'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: CommandQueue.cpp:(.text._ZNSt6vectorIS_IPN7DRAMSim9BusPacketESaIS2_EESaIS4_EE17_M_realloc_insertIJRKS4_EEEvN9__gnu_cxx17__normal_iteratorIPS4_S6_EEDpOT_[_ZNSt6vectorIS_IPN7DRAMSim9BusPacketESaIS2_EESaIS4_EE17_M_realloc_insertIJRKS4_EEEvN9__gnu_cxx17__normal_iteratorIPS4_S6_EEDpOT_]+0x3c4): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(CommandQueue.o): in function `void std::vector<std::vector<std::vector<DRAMSim::BusPacket*, std::allocator<DRAMSim::BusPacket*> >, std::allocator<std::vector<DRAMSim::BusPacket*, std::allocator<DRAMSim::BusPacket*> > > >, std::allocator<std::vector<std::vector<DRAMSim::BusPacket*, std::allocator<DRAMSim::BusPacket*> >, std::allocator<std::vector<DRAMSim::BusPacket*, std::allocator<DRAMSim::BusPacket*> > > > > >::_M_realloc_insert<std::vector<std::vector<DRAMSim::BusPacket*, std::allocator<DRAMSim::BusPacket*> >, std::allocator<std::vector<DRAMSim::BusPacket*, std::allocator<DRAMSim::BusPacket*> > > > const&>(__gnu_cxx::__normal_iterator<std::vector<std::vector<DRAMSim::BusPacket*, std::allocator<DRAMSim::BusPacket*> >, std::allocator<std::vector<DRAMSim::BusPacket*, std::allocator<DRAMSim::BusPacket*> > > >*, std::vector<std::vector<std::vector<DRAMSim::BusPacket*, std::allocator<DRAMSim::BusPacket*> >, std::allocator<std::vector<DRAMSim::BusPacket*, std::allocator<DRAMSim::BusPacket*> > > >, std::allocator<std::vector<std::vector<DRAMSim::BusPacket*, std::allocator<DRAMSim::BusPacket*> >, std::allocator<std::vector<DRAMSim::BusPacket*, std::allocator<DRAMSim::BusPacket*> > > > > > >, std::vector<std::vector<DRAMSim::BusPacket*, std::allocator<DRAMSim::BusPacket*> >, std::allocator<std::vector<DRAMSim::BusPacket*, std::allocator<DRAMSim::BusPacket*> > > > const&)':
CommandQueue.cpp:(.text._ZNSt6vectorIS_IS_IPN7DRAMSim9BusPacketESaIS2_EESaIS4_EESaIS6_EE17_M_realloc_insertIJRKS6_EEEvN9__gnu_cxx17__normal_iteratorIPS6_S8_EEDpOT_[_ZNSt6vectorIS_IS_IPN7DRAMSim9BusPacketESaIS2_EESaIS4_EESaIS6_EE17_M_realloc_insertIJRKS6_EEEvN9__gnu_cxx17__normal_iteratorIPS6_S8_EEDpOT_]+0x330): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: CommandQueue.cpp:(.text._ZNSt6vectorIS_IS_IPN7DRAMSim9BusPacketESaIS2_EESaIS4_EESaIS6_EE17_M_realloc_insertIJRKS6_EEEvN9__gnu_cxx17__normal_iteratorIPS6_S8_EEDpOT_[_ZNSt6vectorIS_IS_IPN7DRAMSim9BusPacketESaIS2_EESaIS4_EESaIS6_EE17_M_realloc_insertIJRKS6_EEEvN9__gnu_cxx17__normal_iteratorIPS6_S8_EEDpOT_]+0x395): undefined reference to `std::__throw_bad_array_new_length()'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: CommandQueue.cpp:(.text._ZNSt6vectorIS_IS_IPN7DRAMSim9BusPacketESaIS2_EESaIS4_EESaIS6_EE17_M_realloc_insertIJRKS6_EEEvN9__gnu_cxx17__normal_iteratorIPS6_S8_EEDpOT_[_ZNSt6vectorIS_IS_IPN7DRAMSim9BusPacketESaIS2_EESaIS4_EESaIS6_EE17_M_realloc_insertIJRKS6_EEEvN9__gnu_cxx17__normal_iteratorIPS6_S8_EEDpOT_]+0x429): undefined reference to `std::__throw_bad_array_new_length()'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: CommandQueue.cpp:(.text._ZNSt6vectorIS_IS_IPN7DRAMSim9BusPacketESaIS2_EESaIS4_EESaIS6_EE17_M_realloc_insertIJRKS6_EEEvN9__gnu_cxx17__normal_iteratorIPS6_S8_EEDpOT_[_ZNSt6vectorIS_IS_IPN7DRAMSim9BusPacketESaIS2_EESaIS4_EESaIS6_EE17_M_realloc_insertIJRKS6_EEEvN9__gnu_cxx17__normal_iteratorIPS6_S8_EEDpOT_]+0x4a8): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: CommandQueue.cpp:(.text._ZNSt6vectorIS_IS_IPN7DRAMSim9BusPacketESaIS2_EESaIS4_EESaIS6_EE17_M_realloc_insertIJRKS6_EEEvN9__gnu_cxx17__normal_iteratorIPS6_S8_EEDpOT_[_ZNSt6vectorIS_IS_IPN7DRAMSim9BusPacketESaIS2_EESaIS4_EESaIS6_EE17_M_realloc_insertIJRKS6_EEEvN9__gnu_cxx17__normal_iteratorIPS6_S8_EEDpOT_]+0x4ea): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/tools/DRAMSim2/libdramsim.a(CommandQueue.o): in function `std::vector<unsigned int, std::allocator<unsigned int> >* std::__do_uninit_fill_n<std::vector<unsigned int, std::allocator<unsigned int> >*, unsigned long, std::vector<unsigned int, std::allocator<unsigned int> > >(std::vector<unsigned int, std::allocator<unsigned int> >*, unsigned long, std::vector<unsigned int, std::allocator<unsigned int> > const&)':
CommandQueue.cpp:(.text._ZSt18__do_uninit_fill_nIPSt6vectorIjSaIjEEmS2_ET_S4_T0_RKT1_[_ZSt18__do_uninit_fill_nIPSt6vectorIjSaIjEEmS2_ET_S4_T0_RKT1_]+0xd6): undefined reference to `std::__throw_bad_array_new_length()'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: CommandQueue.cpp:(.text._ZSt18__do_uninit_fill_nIPSt6vectorIjSaIjEEmS2_ET_S4_T0_RKT1_[_ZSt18__do_uninit_fill_nIPSt6vectorIjSaIjEEmS2_ET_S4_T0_RKT1_]+0x112): undefined reference to `operator delete(void*, unsigned long)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: mm_dramsim2.o: in function `mm_dramsim2_t::init(unsigned long, int, int)':
mm_dramsim2.cc:(.text+0x11f3): undefined reference to `DRAMSim::getMemorySystemInstance(std::string const&, std::string const&, std::string const&, std::string const&, unsigned int, std::string*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: testchip_tsi.o:(.data.rel.ro._ZTV14testchip_tsi_t[_ZTV14testchip_tsi_t]+0x78): undefined reference to `htif_t::load_payload(std::string const&, unsigned long*)'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/support/synopsys/synopsys.release/vcs-mx_vK-2015.09/linux64/lib/libuclinative.so: undefined reference to `tgetstr'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/support/synopsys/synopsys.release/vcs-mx_vK-2015.09/linux64/lib/libuclinative.so: undefined reference to `tputs'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/support/synopsys/synopsys.release/vcs-mx_vK-2015.09/linux64/lib/libuclinative.so: undefined reference to `setupterm'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/support/synopsys/synopsys.release/vcs-mx_vK-2015.09/linux64/lib/libuclinative.so: undefined reference to `tgetent'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/support/synopsys/synopsys.release/vcs-mx_vK-2015.09/linux64/lib/libuclinative.so: undefined reference to `tgetflag'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/support/synopsys/synopsys.release/vcs-mx_vK-2015.09/linux64/lib/libuclinative.so: undefined reference to `tgoto'
/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/.conda-env/bin/ld: /afs/ece.cmu.edu/support/synopsys/synopsys.release/vcs-mx_vK-2015.09/linux64/lib/libuclinative.so: undefined reference to `tgetnum'
collect2: error: ld returned 1 exit status
make[1]: *** [Makefile:98: product_timestamp] Error 1
make[1]: Leaving directory '/afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/generated-src/chipyard.TestHarness.TapeoutDoubleMedConfig/chipyard.TestHarness.TapeoutDoubleMedConfig'
Make exited with status 2
make: *** [Makefile:72: /afs/ece.cmu.edu/usr/ngaertne/725/chipyard/sims/vcs/simv-chipyard-TapeoutDoubleMedConfig] Error 2

Hi I have also met this problem that tells me that testchip_tsi.o:(.data.rel.ro._ZTV14testchip_tsi_t[_ZTV14testchip_tsi_t]+0x78): undefined reference to htif_t::load_payload(std::string const&, unsigned long*) But this is the only error I have, not like you having a series of errors. My g++ version is 10.2.0 May I know how you fix this?

jerryz123 commented 1 year ago

Did you update chipyard without reinstalling the toolchain?

Try running scripts/build-toolchain-extra.sh --prefix $RISCV

YiKangOY commented 1 year ago

I tried this but it didn't help. I use a haky way to solve this, I put my solution here if someone meets the same problem.

In /path-to-chipyard/toolchains/riscv-tools/riscv-gnu-toolchain/riscv-gcc/gcc/testsuite/gdc.test/runnable_cxx/extra-files/cppb.cpp. It says that:

Fortunately, it is easily possible to disable the new feature
by defining _GLIBCXX_USE_CXX11_ABI as 0 before including any standard
headers.

So I put #define _GLIBCXX_USE_CXX11_ABI 0 at the first line of testchip_tsi.cc and the problem is sloved. I'm not sure if I am doing it correctly.