ucb-bar / chisel-tutorial

chisel tutorial exercises and answers
Other
693 stars 197 forks source link

sbt problem #81

Open ghost opened 7 years ago

ghost commented 7 years ago

Hello, I am facing an error while I'm trying to sbt run: [error] (compile:compile) sbt.InvalidComponent: Could not find required component 'compiler-interface-src' Any ideas anyone? Thanks.

ucbjrl commented 7 years ago

compiler-interface-src looks like name of an internal sbt library.

Could you show us the command you're using to run the tutorials and the complete output (including the above error)?

ghost commented 7 years ago

Yes of course, I follow the instructions: Getting the repo. cd chisel-tutorial sbt run [root@localhost chisel-tutorial]# sbt run [info] Loading project definition from /usr/local/chisel-tutorial/project [info] Updating {file:/usr/local/chisel-tutorial/project/}chisel-tutorial-build... [info] Resolving org.scala-sbt.ivy#ivy;2.3.0-sbt-2cc8d2761242b072cedb0a04cb39435[info] Resolving org.scala-lang#scala-reflect;2.10.4 ... [info] Done updating. [info] Set current project to chisel-tutorial (in build file:/usr/local/chisel-tutorial/) [info] Updating {file:/usr/local/chisel-tutorial/}chisel-tutorial... [info] Resolving jline#jline;2.12.1 ... [info] downloading https://oss.sonatype.org/content/repositories/snapshots/edu/berkeley/cs/chisel3_2.11/3.0-SNAPSHOT/chisel3_2.11-3.0-SNAPSHOT.jar ... [info] [SUCCESSFUL ] edu.berkeley.cs#chisel3_2.11;3.0-SNAPSHOT!chisel3_2.11.jar (2917ms) [info] downloading https://oss.sonatype.org/content/repositories/snapshots/edu/berkeley/cs/firrtl_2.11/1.0-SNAPSHOT/firrtl_2.11-1.0-SNAPSHOT.jar ... [info] [SUCCESSFUL ] edu.berkeley.cs#firrtl_2.11;1.0-SNAPSHOT!firrtl_2.11.jar (3275ms) [info] Done updating. [info] Compiling 54 Scala sources to /usr/local/chisel-tutorial/target/scala-2.11/classes... sbt.InvalidComponent: Could not find required component 'compiler-interface-src' at sbt.ComponentManager.invalid(ComponentManager.scala:57) at sbt.ComponentManager.sbt$ComponentManager$$notFound$1(ComponentManager.scala:34) at sbt.ComponentManager.sbt$ComponentManager$$createAndCache$1(ComponentManager.scala:37) at sbt.ComponentManager$$anonfun$sbt$ComponentManager$$fromGlobal$1$1.apply(ComponentManager.scala:27) at sbt.ComponentManager$$anonfun$sbt$ComponentManager$$fromGlobal$1$1.apply(ComponentManager.scala:26) at sbt.ComponentManager$$anon$1.call(ComponentManager.scala:50) at xsbt.boot.Locks$GlobalLock.withLock(Locks.scala:48) at xsbt.boot.Locks$.apply0(Locks.scala:38) at xsbt.boot.Locks$.apply(Locks.scala:28) at sbt.ComponentManager.lock(ComponentManager.scala:50) at sbt.ComponentManager.lockGlobalCache(ComponentManager.scala:49) at sbt.ComponentManager.sbt$ComponentManager$$fromGlobal$1(ComponentManager.scala:25) at sbt.ComponentManager$$anonfun$files$1$$anonfun$apply$2.apply(ComponentManager.scala:44) at sbt.ComponentManager$$anonfun$files$1$$anonfun$apply$2.apply(ComponentManager.scala:44) at sbt.ComponentManager.sbt$ComponentManager$$getOrElse$1(ComponentManager.scala:32) at sbt.ComponentManager$$anonfun$files$1.apply(ComponentManager.scala:44) at sbt.ComponentManager$$anonfun$files$1.apply(ComponentManager.scala:44) at sbt.ComponentManager$$anon$1.call(ComponentManager.scala:50) at xsbt.boot.Locks$GlobalLock.withLock(Locks.scala:48) at xsbt.boot.Locks$.apply0(Locks.scala:38) at xsbt.boot.Locks$.apply(Locks.scala:28) at sbt.ComponentManager.lock(ComponentManager.scala:50) at sbt.ComponentManager.lockLocalCache(ComponentManager.scala:47) at sbt.ComponentManager.files(ComponentManager.scala:44) at sbt.compiler.ComponentCompiler$$anonfun$compileAndInstall$1.apply(ComponentCompiler.scala:61) at sbt.compiler.ComponentCompiler$$anonfun$compileAndInstall$1.apply(ComponentCompiler.scala:58) at sbt.IO$.withTemporaryDirectory(IO.scala:285) at sbt.compiler.ComponentCompiler.compileAndInstall(ComponentCompiler.scala:58) at sbt.compiler.ComponentCompiler$$anonfun$getLocallyCompiled$1.apply$mcV$sp(ComponentCompiler.scala:47) at sbt.IfMissing$Define.apply(ComponentManager.scala:77) at sbt.ComponentManager.sbt$ComponentManager$$createAndCache$1(ComponentManager.scala:39) at sbt.ComponentManager$$anonfun$sbt$ComponentManager$$fromGlobal$1$1.apply(ComponentManager.scala:27) at sbt.ComponentManager$$anonfun$sbt$ComponentManager$$fromGlobal$1$1.apply(ComponentManager.scala:26) at sbt.ComponentManager$$anon$1.call(ComponentManager.scala:50) at xsbt.boot.Locks$GlobalLock.withChannel$1(Locks.scala:98) at xsbt.boot.Locks$GlobalLock.xsbt$boot$Locks$GlobalLock$$withChannelRetries$1(Locks.scala:81) at xsbt.boot.Locks$GlobalLock$$anonfun$withFileLock$1.apply(Locks.scala:102) at xsbt.boot.Locks$GlobalLock$$anonfun$withFileLock$1.apply(Locks.scala:102) at xsbt.boot.Using$.withResource(Using.scala:11) at xsbt.boot.Using$.apply(Using.scala:10) at xsbt.boot.Locks$GlobalLock.withFileLock(Locks.scala:102) at xsbt.boot.Locks$GlobalLock.ignoringDeadlockAvoided(Locks.scala:62) at xsbt.boot.Locks$GlobalLock.withLock(Locks.scala:52) at xsbt.boot.Locks$.apply0(Locks.scala:38) at xsbt.boot.Locks$.apply(Locks.scala:28) at sbt.ComponentManager.lock(ComponentManager.scala:50) at sbt.ComponentManager.lockGlobalCache(ComponentManager.scala:49) at sbt.ComponentManager.sbt$ComponentManager$$fromGlobal$1(ComponentManager.scala:25) at sbt.ComponentManager$$anonfun$files$1$$anonfun$apply$2.apply(ComponentManager.scala:44) at sbt.ComponentManager$$anonfun$files$1$$anonfun$apply$2.apply(ComponentManager.scala:44) at sbt.ComponentManager.sbt$ComponentManager$$getOrElse$1(ComponentManager.scala:32) at sbt.ComponentManager$$anonfun$files$1.apply(ComponentManager.scala:44) at sbt.ComponentManager$$anonfun$files$1.apply(ComponentManager.scala:44) at sbt.ComponentManager$$anon$1.call(ComponentManager.scala:50) at xsbt.boot.Locks$GlobalLock.withChannel$1(Locks.scala:98) at xsbt.boot.Locks$GlobalLock.xsbt$boot$Locks$GlobalLock$$withChannelRetries$1(Locks.scala:81) at xsbt.boot.Locks$GlobalLock$$anonfun$withFileLock$1.apply(Locks.scala:102) at xsbt.boot.Locks$GlobalLock$$anonfun$withFileLock$1.apply(Locks.scala:102) at xsbt.boot.Using$.withResource(Using.scala:11) at xsbt.boot.Using$.apply(Using.scala:10) at xsbt.boot.Locks$GlobalLock.withFileLock(Locks.scala:102) at xsbt.boot.Locks$GlobalLock.ignoringDeadlockAvoided(Locks.scala:62) at xsbt.boot.Locks$GlobalLock.withLock(Locks.scala:52) at xsbt.boot.Locks$.apply0(Locks.scala:38) at xsbt.boot.Locks$.apply(Locks.scala:28) at sbt.ComponentManager.lock(ComponentManager.scala:50) at sbt.ComponentManager.lockLocalCache(ComponentManager.scala:47) at sbt.ComponentManager.files(ComponentManager.scala:44) at sbt.ComponentManager.file(ComponentManager.scala:53) at sbt.compiler.ComponentCompiler.getLocallyCompiled(ComponentCompiler.scala:47) at sbt.compiler.ComponentCompiler.apply(ComponentCompiler.scala:37) at sbt.compiler.ComponentCompiler$$anon$1.apply(ComponentCompiler.scala:25) at sbt.compiler.AnalyzingCompiler.loader(AnalyzingCompiler.scala:112) at sbt.compiler.AnalyzingCompiler.getInterfaceClass(AnalyzingCompiler.scala:117) at sbt.compiler.AnalyzingCompiler.call(AnalyzingCompiler.scala:99) at sbt.compiler.AnalyzingCompiler.newCachedCompiler(AnalyzingCompiler.scala:57) at sbt.compiler.AnalyzingCompiler.newCachedCompiler(AnalyzingCompiler.scala:52) at sbt.compiler.CompilerCache$$anon$2.apply(CompilerCache.scala:50) at sbt.compiler.AnalyzingCompiler.compile(AnalyzingCompiler.scala:39) at sbt.compiler.AggressiveCompile$$anonfun$3$$anonfun$compileScala$1$1.apply$mcV$sp(AggressiveCompile.scala:98) at sbt.compiler.AggressiveCompile$$anonfun$3$$anonfun$compileScala$1$1.apply(AggressiveCompile.scala:98) at sbt.compiler.AggressiveCompile$$anonfun$3$$anonfun$compileScala$1$1.apply(AggressiveCompile.scala:98) at sbt.compiler.AggressiveCompile.sbt$compiler$AggressiveCompile$$timed(AggressiveCompile.scala:159) at sbt.compiler.AggressiveCompile$$anonfun$3.compileScala$1(AggressiveCompile.scala:97) at sbt.compiler.AggressiveCompile$$anonfun$3.apply(AggressiveCompile.scala:142) at sbt.compiler.AggressiveCompile$$anonfun$3.apply(AggressiveCompile.scala:86) at sbt.inc.IncrementalCompile$$anonfun$doCompile$1.apply(Compile.scala:38) at sbt.inc.IncrementalCompile$$anonfun$doCompile$1.apply(Compile.scala:36) at sbt.inc.Incremental$.cycle(Incremental.scala:73) at sbt.inc.Incremental$$anonfun$1.apply(Incremental.scala:33) at sbt.inc.Incremental$$anonfun$1.apply(Incremental.scala:32) at sbt.inc.Incremental$.manageClassfiles(Incremental.scala:41) at sbt.inc.Incremental$.compile(Incremental.scala:32) at sbt.inc.IncrementalCompile$.apply(Compile.scala:26) at sbt.compiler.AggressiveCompile.compile2(AggressiveCompile.scala:150) at sbt.compiler.AggressiveCompile.compile1(AggressiveCompile.scala:70) at sbt.compiler.AggressiveCompile.apply(AggressiveCompile.scala:45) at sbt.Compiler$.apply(Compiler.scala:70) at sbt.Defaults$.sbt$Defaults$$compileTaskImpl(Defaults.scala:736) at sbt.Defaults$$anonfun$compileTask$1.apply(Defaults.scala:730) at sbt.Defaults$$anonfun$compileTask$1.apply(Defaults.scala:730) at scala.Function1$$anonfun$compose$1.apply(Function1.scala:47) at sbt.$tilde$greater$$anonfun$$u2219$1.apply(TypeFunctions.scala:42) at sbt.std.Transform$$anon$4.work(System.scala:64) at sbt.Execute$$anonfun$submit$1$$anonfun$apply$1.apply(Execute.scala:237) at sbt.Execute$$anonfun$submit$1$$anonfun$apply$1.apply(Execute.scala:237) at sbt.ErrorHandling$.wideConvert(ErrorHandling.scala:18) at sbt.Execute.work(Execute.scala:244) at sbt.Execute$$anonfun$submit$1.apply(Execute.scala:237) at sbt.Execute$$anonfun$submit$1.apply(Execute.scala:237) at sbt.ConcurrentRestrictions$$anon$4$$anonfun$1.apply(ConcurrentRestrictions.scala:160) at sbt.CompletionService$$anon$2.call(CompletionService.scala:30) at java.util.concurrent.FutureTask.run(FutureTask.java:266) at java.util.concurrent.Executors$RunnableAdapter.call(Executors.java:511) at java.util.concurrent.FutureTask.run(FutureTask.java:266) at java.util.concurrent.ThreadPoolExecutor.runWorker(ThreadPoolExecutor.java:1142) at java.util.concurrent.ThreadPoolExecutor$Worker.run(ThreadPoolExecutor.java:617) at java.lang.Thread.run(Thread.java:745) [error] (compile:compile) sbt.InvalidComponent: Could not find required component 'compiler-interface-src' [error] Total time: 13 s, completed Mar 27, 2017 10:29:38 PM

ucbjrl commented 7 years ago

Thanks. I notice two issues:

Googling this error indicates it may be due to problems with the sbt version or one of the ~/.sbt, ~/.m2, or ~/.ivy2 directories.

Could you provide information concerning your OS and sbt versions? For the latter, please send the output of the following:

cd chisel-tutorial
sbt about
ghost commented 7 years ago

OS: I am running Fedora 23 64-bit GNOME Version 3.18.2. About sbt: [root@localhost chisel-tutorial]# sbt about [info] Loading project definition from /usr/local/chisel-tutorial/project [info] Set current project to chisel-tutorial (in build file:/usr/local/chisel-tutorial/) [info] This is sbt 0.13.11 [info] The current project is {file:/usr/local/chisel-tutorial/}chisel-tutorial 3.0-SNAPSHOT [info] The current project is built against Scala 2.11.7 [info] [info] sbt, sbt plugins, and build definitions are using Scala 2.10.4

ucbjrl commented 7 years ago

Are you running sbt as root? I would advise against this. Apart from the security issues, sbt will need to write the ~/.sbt and ~/.ivy2 directories, and this can pose problems if these are accessed through a NFS mounted filesystem.

Can you run sbt -d -v clean run in the chisel-tutorial directory and send the output, please?

ghost commented 7 years ago

By the way on my KDE Mint everything run perfectly. Output of sbt -d -v clean without running it as root:

[kllbrd@localhost chisel-tutorial]$ sbt -d -v clean run Getting org.scala-sbt sbt 0.13.11 ... downloading http://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/sbt/0.13.11/jars/sbt.jar ... [SUCCESSFUL ] org.scala-sbt#sbt;0.13.11!sbt.jar (3201ms) downloading https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.10.6/scala-library-2.10.6.jar ... [SUCCESSFUL ] org.scala-lang#scala-library;2.10.6!scala-library.jar (6770ms) downloading http://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/main/0.13.11/jars/main.jar ... [SUCCESSFUL ] org.scala-sbt#main;0.13.11!main.jar (4742ms) downloading http://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/compiler-interface/0.13.11/jars/compiler-interface.jar ... [SUCCESSFUL ] org.scala-sbt#compiler-interface;0.13.11!compiler-interface.jar (2454ms) downloading http://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/actions/0.13.11/jars/actions.jar ... [SUCCESSFUL ] org.scala-sbt#actions;0.13.11!actions.jar (7368ms) downloading http://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/main-settings/0.13.11/jars/main-settings.jar ... [SUCCESSFUL ] org.scala-sbt#main-settings;0.13.11!main-settings.jar (2967ms) downloading http://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/interface/0.13.11/jars/interface.jar ... [SUCCESSFUL ] org.scala-sbt#interface;0.13.11!interface.jar (1933ms) downloading http://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/io/0.13.11/jars/io.jar ... [SUCCESSFUL ] org.scala-sbt#io;0.13.11!io.jar (8875ms) downloading http://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/ivy/0.13.11/jars/ivy.jar ... [SUCCESSFUL ] org.scala-sbt#ivy;0.13.11!ivy.jar (4027ms) downloading http://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/logging/0.13.11/jars/logging.jar ... [SUCCESSFUL ] org.scala-sbt#logging;0.13.11!logging.jar (1999ms) downloading http://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/logic/0.13.11/jars/logic.jar ... [SUCCESSFUL ] org.scala-sbt#logic;0.13.11!logic.jar (1924ms) downloading http://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/process/0.13.11/jars/process.jar ... [SUCCESSFUL ] org.scala-sbt#process;0.13.11!process.jar (2013ms) downloading http://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/run/0.13.11/jars/run.jar ... [SUCCESSFUL ] org.scala-sbt#run;0.13.11!run.jar (2014ms) downloading http://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/command/0.13.11/jars/command.jar ... [SUCCESSFUL ] org.scala-sbt#command;0.13.11!command.jar (11828ms) downloading https://repo1.maven.org/maven2/org/scala-sbt/launcher-interface/1.0.0-M1/launcher-interface-1.0.0-M1.jar ... [SUCCESSFUL ] org.scala-sbt#launcher-interface;1.0.0-M1!launcher-interface.jar (711ms) downloading http://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/classpath/0.13.11/jars/classpath.jar ... [SUCCESSFUL ] org.scala-sbt#classpath;0.13.11!classpath.jar (2415ms) downloading http://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/completion/0.13.11/jars/completion.jar ... [SUCCESSFUL ] org.scala-sbt#completion;0.13.11!completion.jar (7137ms) downloading http://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/api/0.13.11/jars/api.jar ... [SUCCESSFUL ] org.scala-sbt#api;0.13.11!api.jar (4177ms) downloading http://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/compiler-integration/0.13.11/jars/compiler-integration.jar ... [SUCCESSFUL ] org.scala-sbt#compiler-integration;0.13.11!compiler-integration.jar (4065ms) downloading http://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/compiler-ivy-integration/0.13.11/jars/compiler-ivy-integration.jar ... [SUCCESSFUL ] org.scala-sbt#compiler-ivy-integration;0.13.11!compiler-ivy-integration.jar (2380ms) downloading http://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/relation/0.13.11/jars/relation.jar ... [SUCCESSFUL ] org.scala-sbt#relation;0.13.11!relation.jar (6870ms) downloading http://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/task-system/0.13.11/jars/task-system.jar ... [SUCCESSFUL ] org.scala-sbt#task-system;0.13.11!task-system.jar (9150ms) downloading http://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/tasks/0.13.11/jars/tasks.jar ... [SUCCESSFUL ] org.scala-sbt#tasks;0.13.11!tasks.jar (9700ms) downloading http://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/tracking/0.13.11/jars/tracking.jar ... [SUCCESSFUL ] org.scala-sbt#tracking;0.13.11!tracking.jar (2069ms) downloading http://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/testing/0.13.11/jars/testing.jar ... [SUCCESSFUL ] org.scala-sbt#testing;0.13.11!testing.jar (1986ms) downloading https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.10.6/scala-compiler-2.10.6.jar ... [SUCCESSFUL ] org.scala-lang#scala-compiler;2.10.6!scala-compiler.jar (12985ms) downloading https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.10.6/scala-reflect-2.10.6.jar ... [SUCCESSFUL ] org.scala-lang#scala-reflect;2.10.6!scala-reflect.jar (3037ms) downloading http://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/control/0.13.11/jars/control.jar ... [SUCCESSFUL ] org.scala-sbt#control;0.13.11!control.jar (2013ms) downloading http://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/collections/0.13.11/jars/collections.jar ... [SUCCESSFUL ] org.scala-sbt#collections;0.13.11!collections.jar (2664ms) downloading https://repo1.maven.org/maven2/jline/jline/2.13/jline-2.13.jar ... [SUCCESSFUL ] jline#jline;2.13!jline.jar (503ms) downloading http://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/classfile/0.13.11/jars/classfile.jar ... [SUCCESSFUL ] org.scala-sbt#classfile;0.13.11!classfile.jar (1988ms) downloading http://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/incremental-compiler/0.13.11/jars/incremental-compiler.jar ... [SUCCESSFUL ] org.scala-sbt#incremental-compiler;0.13.11!incremental-compiler.jar (2562ms) downloading http://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/compile/0.13.11/jars/compile.jar ... [SUCCESSFUL ] org.scala-sbt#compile;0.13.11!compile.jar (3693ms) downloading http://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/persist/0.13.11/jars/persist.jar ... [SUCCESSFUL ] org.scala-sbt#persist;0.13.11!persist.jar (2012ms) downloading http://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/cross/0.13.11/jars/cross.jar ... [SUCCESSFUL ] org.scala-sbt#cross;0.13.11!cross.jar (1805ms) downloading https://repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-2cc8d2761242b072cedb0a04cb39435c4fa24f9a/ivy-2.3.0-sbt-2cc8d2761242b072cedb0a04cb39435c4fa24f9a.jar ... [SUCCESSFUL ] org.scala-sbt.ivy#ivy;2.3.0-sbt-2cc8d2761242b072cedb0a04cb39435c4fa24f9a!ivy.jar (1557ms) downloading https://repo1.maven.org/maven2/org/scala-sbt/serialization_2.10/0.1.2/serialization_2.10-0.1.2.jar ... [SUCCESSFUL ] org.scala-sbt#serialization_2.10;0.1.2!serialization_2.10.jar (536ms) downloading https://repo1.maven.org/maven2/org/scala-lang/modules/scala-pickling_2.10/0.10.1/scala-pickling_2.10-0.10.1.jar ... [SUCCESSFUL ] org.scala-lang.modules#scala-pickling_2.10;0.10.1!scala-pickling_2.10.jar (952ms) downloading https://repo1.maven.org/maven2/org/json4s/json4s-core_2.10/3.2.10/json4s-core_2.10-3.2.10.jar ... [SUCCESSFUL ] org.json4s#json4s-core_2.10;3.2.10!json4s-core_2.10.jar (752ms) downloading https://repo1.maven.org/maven2/org/spire-math/jawn-parser_2.10/0.6.0/jawn-parser_2.10-0.6.0.jar ... [SUCCESSFUL ] org.spire-math#jawn-parser_2.10;0.6.0!jawn-parser_2.10.jar (308ms) downloading https://repo1.maven.org/maven2/org/spire-math/json4s-support_2.10/0.6.0/json4s-support_2.10-0.6.0.jar ... [SUCCESSFUL ] org.spire-math#json4s-support_2.10;0.6.0!json4s-support_2.10.jar (245ms) downloading https://repo1.maven.org/maven2/org/scalamacros/quasiquotes_2.10/2.0.1/quasiquotes_2.10-2.0.1.jar ... [SUCCESSFUL ] org.scalamacros#quasiquotes_2.10;2.0.1!quasiquotes_2.10.jar (862ms) downloading https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.10/3.2.10/json4s-ast_2.10-3.2.10.jar ... [SUCCESSFUL ] org.json4s#json4s-ast_2.10;3.2.10!json4s-ast_2.10.jar (313ms) downloading https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.6/paranamer-2.6.jar ... [SUCCESSFUL ] com.thoughtworks.paranamer#paranamer;2.6!paranamer.jar (266ms) downloading http://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/cache/0.13.11/jars/cache.jar ... [SUCCESSFUL ] org.scala-sbt#cache;0.13.11!cache.jar (2063ms) downloading http://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/test-agent/0.13.11/jars/test-agent.jar ... [SUCCESSFUL ] org.scala-sbt#test-agent;0.13.11!test-agent.jar (1866ms) downloading http://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/apply-macro/0.13.11/jars/apply-macro.jar ... [SUCCESSFUL ] org.scala-sbt#apply-macro;0.13.11!apply-macro.jar (1981ms) :: retrieving :: org.scala-sbt#boot-app confs: [default] 51 artifacts copied, 0 already retrieved (17335kB/53ms) Getting Scala 2.10.4 (for sbt)... :: retrieving :: org.scala-sbt#boot-scala confs: [default] 3 artifacts copied, 0 already retrieved (25143kB/25ms) [info] Loading project definition from /home/kllbrd/.sbt/0.13/staging/02bb4c94b0f8fe6986c2/chisel-tutorial/project [info] Updating {file:/home/kllbrd/.sbt/0.13/staging/02bb4c94b0f8fe6986c2/chisel-tutorial/project/}chisel-tutorial-build... [info] Resolving org.scala-sbt.ivy#ivy;2.3.0-sbt-2cc8d2761242b072cedb0a04cb39435[info] Resolving org.scala-lang#scala-reflect;2.10.4 ... [info] Done updating. [info] Set current project to chisel-tutorial (in build file:/usr/local/chisel-tutorial/) [warn] The - command is deprecated in favor of onFailure and will be removed in 0.14.0 [warn] The - command is deprecated in favor of onFailure and will be removed in 0.14.0 [success] Total time: 0 s, completed Mar 28, 2017 12:09:38 AM [info] Updating {file:/usr/local/chisel-tutorial/}chisel-tutorial... [info] Resolving org.sonatype.oss#oss-parent;7 ... [info] downloading https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.11.7/scala-library-2.11.7.jar ... [info] [SUCCESSFUL ] org.scala-lang#scala-library;2.11.7!scala-library.jar (5250ms) [info] downloading https://oss.sonatype.org/content/repositories/snapshots/edu/berkeley/cs/chisel3_2.11/3.0-SNAPSHOT/chisel3_2.11-3.0-SNAPSHOT.jar ... [info] [SUCCESSFUL ] edu.berkeley.cs#chisel3_2.11;3.0-SNAPSHOT!chisel3_2.11.jar (2705ms) [info] downloading https://oss.sonatype.org/content/repositories/snapshots/edu/berkeley/cs/chisel-iotesters_2.11/1.1-SNAPSHOT/chisel-iotesters_2.11-1.1-SNAPSHOT.jar ... [info] [SUCCESSFUL ] edu.berkeley.cs#chisel-iotesters_2.11;1.1-SNAPSHOT!chisel-iotesters_2.11.jar (1413ms) [info] downloading https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.11.7/scala-reflect-2.11.7.jar ... [info] [SUCCESSFUL ] org.scala-lang#scala-reflect;2.11.7!scala-reflect.jar (4243ms) [info] downloading https://oss.sonatype.org/content/repositories/snapshots/edu/berkeley/cs/firrtl_2.11/1.0-SNAPSHOT/firrtl_2.11-1.0-SNAPSHOT.jar ... [info] [SUCCESSFUL ] edu.berkeley.cs#firrtl_2.11;1.0-SNAPSHOT!firrtl_2.11.jar (3656ms) [info] downloading https://repo1.maven.org/maven2/com/github/scopt/scopt_2.11/3.4.0/scopt_2.11-3.4.0.jar ... [info] [SUCCESSFUL ] com.github.scopt#scopt_2.11;3.4.0!scopt_2.11.jar (394ms) [info] downloading https://repo1.maven.org/maven2/com/typesafe/scala-logging/scala-logging_2.11/3.1.0/scala-logging_2.11-3.1.0.jar ... [info] [SUCCESSFUL ] com.typesafe.scala-logging#scala-logging_2.11;3.1.0!scala-logging_2.11.jar (312ms) [info] downloading https://repo1.maven.org/maven2/ch/qos/logback/logback-classic/1.1.2/logback-classic-1.1.2.jar ... [info] [SUCCESSFUL ] ch.qos.logback#logback-classic;1.1.2!logback-classic.jar (518ms) [info] downloading https://repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.11/0.2/moultingyaml_2.11-0.2.jar ... [info] [SUCCESSFUL ] net.jcazevedo#moultingyaml_2.11;0.2!moultingyaml_2.11.jar (532ms) [info] downloading https://repo1.maven.org/maven2/org/antlr/antlr4/4.5.3/antlr4-4.5.3.jar ... [info] [SUCCESSFUL ] org.antlr#antlr4;4.5.3!antlr4.jar (1638ms) [info] downloading https://repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.5.3/antlr4-runtime-4.5.3.jar ... [info] [SUCCESSFUL ] org.antlr#antlr4-runtime;4.5.3!antlr4-runtime.jar (543ms) [info] downloading https://repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.7/slf4j-api-1.7.7.jar ... [info] [SUCCESSFUL ] org.slf4j#slf4j-api;1.7.7!slf4j-api.jar (314ms) [info] downloading https://repo1.maven.org/maven2/ch/qos/logback/logback-core/1.1.2/logback-core-1.1.2.jar ... [info] [SUCCESSFUL ] ch.qos.logback#logback-core;1.1.2!logback-core.jar (653ms) [info] downloading https://repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.11/2.2.0/nscala-time_2.11-2.2.0.jar ... [info] [SUCCESSFUL ] com.github.nscala-time#nscala-time_2.11;2.2.0!nscala-time_2.11.jar (448ms) [info] downloading https://repo1.maven.org/maven2/org/yaml/snakeyaml/1.16/snakeyaml-1.16.jar ... [info] [SUCCESSFUL ] org.yaml#snakeyaml;1.16!snakeyaml.jar(bundle) (518ms) [info] downloading https://repo1.maven.org/maven2/joda-time/joda-time/2.8.2/joda-time-2.8.2.jar ... [info] [SUCCESSFUL ] joda-time#joda-time;2.8.2!joda-time.jar (823ms) [info] downloading https://repo1.maven.org/maven2/org/joda/joda-convert/1.2/joda-convert-1.2.jar ... [info] [SUCCESSFUL ] org.joda#joda-convert;1.2!joda-convert.jar (315ms) [info] downloading https://oss.sonatype.org/content/repositories/snapshots/edu/berkeley/cs/firrtl-interpreter_2.11/1.0-SNAPSHOT/firrtl-interpreter_2.11-1.0-SNAPSHOT.jar ... [info] [SUCCESSFUL ] edu.berkeley.cs#firrtl-interpreter_2.11;1.0-SNAPSHOT!firrtl-interpreter_2.11.jar (2298ms) [info] downloading https://repo1.maven.org/maven2/org/scalatest/scalatest_2.11/2.2.4/scalatest_2.11-2.2.4.jar ... [info] [SUCCESSFUL ] org.scalatest#scalatest_2.11;2.2.4!scalatest_2.11.jar(bundle) (8920ms) [info] downloading https://repo1.maven.org/maven2/org/scalacheck/scalacheck_2.11/1.12.4/scalacheck_2.11-1.12.4.jar ... [info] [SUCCESSFUL ] org.scalacheck#scalacheck_2.11;1.12.4!scalacheck_2.11.jar (1816ms) [info] downloading https://repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar ... [info] [SUCCESSFUL ] org.scala-lang.modules#scala-jline;2.12.1!scala-jline.jar(bundle) (385ms) [info] downloading https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.11/1.0.2/scala-xml_2.11-1.0.2.jar ... [info] [SUCCESSFUL ] org.scala-lang.modules#scala-xml_2.11;1.0.2!scala-xml_2.11.jar(bundle) (841ms) [info] downloading https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.11.7/scala-compiler-2.11.7.jar ... [info] [SUCCESSFUL ] org.scala-lang#scala-compiler;2.11.7!scala-compiler.jar (13491ms) [info] downloading https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.11/1.0.4/scala-xml_2.11-1.0.4.jar ... [info] [SUCCESSFUL ] org.scala-lang.modules#scala-xml_2.11;1.0.4!scala-xml_2.11.jar(bundle) (859ms) [info] downloading https://repo1.maven.org/maven2/org/scala-lang/modules/scala-parser-combinators_2.11/1.0.4/scala-parser-combinators_2.11-1.0.4.jar ... [info] [SUCCESSFUL ] org.scala-lang.modules#scala-parser-combinators_2.11;1.0.4!scala-parser-combinators_2.11.jar(bundle) (649ms) [info] downloading https://repo1.maven.org/maven2/jline/jline/2.12.1/jline-2.12.1.jar ... [info] [SUCCESSFUL ] jline#jline;2.12.1!jline.jar (462ms) [info] Done updating. [info] Compiling 54 Scala sources to /home/kllbrd/.sbt/0.13/staging/02bb4c94b0f8fe6986c2/chisel-tutorial/target/scala-2.11/classes... sbt.InvalidComponent: Could not find required component 'compiler-interface-src' at sbt.ComponentManager.invalid(ComponentManager.scala:57) at sbt.ComponentManager.sbt$ComponentManager$$notFound$1(ComponentManager.scala:34) at sbt.ComponentManager.sbt$ComponentManager$$createAndCache$1(ComponentManager.scala:37) at sbt.ComponentManager$$anonfun$sbt$ComponentManager$$fromGlobal$1$1.apply(ComponentManager.scala:27) at sbt.ComponentManager$$anonfun$sbt$ComponentManager$$fromGlobal$1$1.apply(ComponentManager.scala:26) at sbt.ComponentManager$$anon$1.call(ComponentManager.scala:50) at xsbt.boot.Locks$GlobalLock.withLock(Locks.scala:48) at xsbt.boot.Locks$.apply0(Locks.scala:38) at xsbt.boot.Locks$.apply(Locks.scala:28) at sbt.ComponentManager.lock(ComponentManager.scala:50) at sbt.ComponentManager.lockGlobalCache(ComponentManager.scala:49) at sbt.ComponentManager.sbt$ComponentManager$$fromGlobal$1(ComponentManager.scala:25) at sbt.ComponentManager$$anonfun$files$1$$anonfun$apply$2.apply(ComponentManager.scala:44) at sbt.ComponentManager$$anonfun$files$1$$anonfun$apply$2.apply(ComponentManager.scala:44) at sbt.ComponentManager.sbt$ComponentManager$$getOrElse$1(ComponentManager.scala:32) at sbt.ComponentManager$$anonfun$files$1.apply(ComponentManager.scala:44) at sbt.ComponentManager$$anonfun$files$1.apply(ComponentManager.scala:44) at sbt.ComponentManager$$anon$1.call(ComponentManager.scala:50) at xsbt.boot.Locks$GlobalLock.withLock(Locks.scala:48) at xsbt.boot.Locks$.apply0(Locks.scala:38) at xsbt.boot.Locks$.apply(Locks.scala:28) at sbt.ComponentManager.lock(ComponentManager.scala:50) at sbt.ComponentManager.lockLocalCache(ComponentManager.scala:47) at sbt.ComponentManager.files(ComponentManager.scala:44) at sbt.compiler.ComponentCompiler$$anonfun$compileAndInstall$1.apply(ComponentCompiler.scala:61) at sbt.compiler.ComponentCompiler$$anonfun$compileAndInstall$1.apply(ComponentCompiler.scala:58) at sbt.IO$.withTemporaryDirectory(IO.scala:285) at sbt.compiler.ComponentCompiler.compileAndInstall(ComponentCompiler.scala:58) at sbt.compiler.ComponentCompiler$$anonfun$getLocallyCompiled$1.apply$mcV$sp(ComponentCompiler.scala:47) at sbt.IfMissing$Define.apply(ComponentManager.scala:77) at sbt.ComponentManager.sbt$ComponentManager$$createAndCache$1(ComponentManager.scala:39) at sbt.ComponentManager$$anonfun$sbt$ComponentManager$$fromGlobal$1$1.apply(ComponentManager.scala:27) at sbt.ComponentManager$$anonfun$sbt$ComponentManager$$fromGlobal$1$1.apply(ComponentManager.scala:26) at sbt.ComponentManager$$anon$1.call(ComponentManager.scala:50) at xsbt.boot.Locks$GlobalLock.withChannel$1(Locks.scala:98) at xsbt.boot.Locks$GlobalLock.xsbt$boot$Locks$GlobalLock$$withChannelRetries$1(Locks.scala:81) at xsbt.boot.Locks$GlobalLock$$anonfun$withFileLock$1.apply(Locks.scala:102) at xsbt.boot.Locks$GlobalLock$$anonfun$withFileLock$1.apply(Locks.scala:102) at xsbt.boot.Using$.withResource(Using.scala:11) at xsbt.boot.Using$.apply(Using.scala:10) at xsbt.boot.Locks$GlobalLock.withFileLock(Locks.scala:102) at xsbt.boot.Locks$GlobalLock.ignoringDeadlockAvoided(Locks.scala:62) at xsbt.boot.Locks$GlobalLock.withLock(Locks.scala:52) at xsbt.boot.Locks$.apply0(Locks.scala:38) at xsbt.boot.Locks$.apply(Locks.scala:28) at sbt.ComponentManager.lock(ComponentManager.scala:50) at sbt.ComponentManager.lockGlobalCache(ComponentManager.scala:49) at sbt.ComponentManager.sbt$ComponentManager$$fromGlobal$1(ComponentManager.scala:25) at sbt.ComponentManager$$anonfun$files$1$$anonfun$apply$2.apply(ComponentManager.scala:44) at sbt.ComponentManager$$anonfun$files$1$$anonfun$apply$2.apply(ComponentManager.scala:44) at sbt.ComponentManager.sbt$ComponentManager$$getOrElse$1(ComponentManager.scala:32) at sbt.ComponentManager$$anonfun$files$1.apply(ComponentManager.scala:44) at sbt.ComponentManager$$anonfun$files$1.apply(ComponentManager.scala:44) at sbt.ComponentManager$$anon$1.call(ComponentManager.scala:50) at xsbt.boot.Locks$GlobalLock.withChannel$1(Locks.scala:98) at xsbt.boot.Locks$GlobalLock.xsbt$boot$Locks$GlobalLock$$withChannelRetries$1(Locks.scala:81) at xsbt.boot.Locks$GlobalLock$$anonfun$withFileLock$1.apply(Locks.scala:102) at xsbt.boot.Locks$GlobalLock$$anonfun$withFileLock$1.apply(Locks.scala:102) at xsbt.boot.Using$.withResource(Using.scala:11) at xsbt.boot.Using$.apply(Using.scala:10) at xsbt.boot.Locks$GlobalLock.withFileLock(Locks.scala:102) at xsbt.boot.Locks$GlobalLock.ignoringDeadlockAvoided(Locks.scala:62) at xsbt.boot.Locks$GlobalLock.withLock(Locks.scala:52) at xsbt.boot.Locks$.apply0(Locks.scala:38) at xsbt.boot.Locks$.apply(Locks.scala:28) at sbt.ComponentManager.lock(ComponentManager.scala:50) at sbt.ComponentManager.lockLocalCache(ComponentManager.scala:47) at sbt.ComponentManager.files(ComponentManager.scala:44) at sbt.ComponentManager.file(ComponentManager.scala:53) at sbt.compiler.ComponentCompiler.getLocallyCompiled(ComponentCompiler.scala:47) at sbt.compiler.ComponentCompiler.apply(ComponentCompiler.scala:37) at sbt.compiler.ComponentCompiler$$anon$1.apply(ComponentCompiler.scala:25) at sbt.compiler.AnalyzingCompiler.loader(AnalyzingCompiler.scala:112) at sbt.compiler.AnalyzingCompiler.getInterfaceClass(AnalyzingCompiler.scala:117) at sbt.compiler.AnalyzingCompiler.call(AnalyzingCompiler.scala:99) at sbt.compiler.AnalyzingCompiler.newCachedCompiler(AnalyzingCompiler.scala:57) at sbt.compiler.AnalyzingCompiler.newCachedCompiler(AnalyzingCompiler.scala:52) at sbt.compiler.CompilerCache$$anon$2.apply(CompilerCache.scala:50) at sbt.compiler.AnalyzingCompiler.compile(AnalyzingCompiler.scala:39) at sbt.compiler.AggressiveCompile$$anonfun$3$$anonfun$compileScala$1$1.apply$mcV$sp(AggressiveCompile.scala:98) at sbt.compiler.AggressiveCompile$$anonfun$3$$anonfun$compileScala$1$1.apply(AggressiveCompile.scala:98) at sbt.compiler.AggressiveCompile$$anonfun$3$$anonfun$compileScala$1$1.apply(AggressiveCompile.scala:98) at sbt.compiler.AggressiveCompile.sbt$compiler$AggressiveCompile$$timed(AggressiveCompile.scala:159) at sbt.compiler.AggressiveCompile$$anonfun$3.compileScala$1(AggressiveCompile.scala:97) at sbt.compiler.AggressiveCompile$$anonfun$3.apply(AggressiveCompile.scala:142) at sbt.compiler.AggressiveCompile$$anonfun$3.apply(AggressiveCompile.scala:86) at sbt.inc.IncrementalCompile$$anonfun$doCompile$1.apply(Compile.scala:38) at sbt.inc.IncrementalCompile$$anonfun$doCompile$1.apply(Compile.scala:36) at sbt.inc.Incremental$.cycle(Incremental.scala:73) at sbt.inc.Incremental$$anonfun$1.apply(Incremental.scala:33) at sbt.inc.Incremental$$anonfun$1.apply(Incremental.scala:32) at sbt.inc.Incremental$.manageClassfiles(Incremental.scala:41) at sbt.inc.Incremental$.compile(Incremental.scala:32) at sbt.inc.IncrementalCompile$.apply(Compile.scala:26) at sbt.compiler.AggressiveCompile.compile2(AggressiveCompile.scala:150) at sbt.compiler.AggressiveCompile.compile1(AggressiveCompile.scala:70) at sbt.compiler.AggressiveCompile.apply(AggressiveCompile.scala:45) at sbt.Compiler$.apply(Compiler.scala:70) at sbt.Defaults$.sbt$Defaults$$compileTaskImpl(Defaults.scala:736) at sbt.Defaults$$anonfun$compileTask$1.apply(Defaults.scala:730) at sbt.Defaults$$anonfun$compileTask$1.apply(Defaults.scala:730) at scala.Function1$$anonfun$compose$1.apply(Function1.scala:47) at sbt.$tilde$greater$$anonfun$$u2219$1.apply(TypeFunctions.scala:42) at sbt.std.Transform$$anon$4.work(System.scala:64) at sbt.Execute$$anonfun$submit$1$$anonfun$apply$1.apply(Execute.scala:237) at sbt.Execute$$anonfun$submit$1$$anonfun$apply$1.apply(Execute.scala:237) at sbt.ErrorHandling$.wideConvert(ErrorHandling.scala:18) at sbt.Execute.work(Execute.scala:244) at sbt.Execute$$anonfun$submit$1.apply(Execute.scala:237) at sbt.Execute$$anonfun$submit$1.apply(Execute.scala:237) at sbt.ConcurrentRestrictions$$anon$4$$anonfun$1.apply(ConcurrentRestrictions.scala:160) at sbt.CompletionService$$anon$2.call(CompletionService.scala:30) at java.util.concurrent.FutureTask.run(FutureTask.java:266) at java.util.concurrent.Executors$RunnableAdapter.call(Executors.java:511) at java.util.concurrent.FutureTask.run(FutureTask.java:266) at java.util.concurrent.ThreadPoolExecutor.runWorker(ThreadPoolExecutor.java:1142) at java.util.concurrent.ThreadPoolExecutor$Worker.run(ThreadPoolExecutor.java:617) at java.lang.Thread.run(Thread.java:745) [error] (compile:compile) sbt.InvalidComponent: Could not find required component 'compiler-interface-src' [error] Total time: 75 s, completed Mar 28, 2017 12:10:53 AM [error] Not a valid command: v [error] Not a valid project ID: v [error] Expected ':' (if selecting a configuration) [error] Not a valid key: v [error] v [error] ^

ghost commented 7 years ago

Since I can run them on my desktop I'm alright. Thank you so much for your help!

mocapapa commented 6 years ago

Same situation for me.

[sakurai@oak chisel-tutorial]$ sbt run
[info] Loading project definition from /home/sakurai/chisel/chisel-tutorial/project
[info] Set current project to chisel-tutorial (in build file:/home/sakurai/chisel/chisel-tutorial/)
[info] Compiling 56 Scala sources to /home/sakurai/chisel/chisel-tutorial/target/scala-2.11/classes...
sbt.InvalidComponent: Could not find required component 'compiler-interface-src'
        at sbt.ComponentManager.invalid(ComponentManager.scala:57)
        at sbt.ComponentManager.sbt$ComponentManager$$notFound$1(ComponentManager.scala:34)
        at sbt.ComponentManager.sbt$ComponentManager$$createAndCache$1(ComponentManager.scala:37)
        at sbt.ComponentManager$$anonfun$sbt$ComponentManager$$fromGlobal$1$1.apply(ComponentManager.scala:27)
        at sbt.ComponentManager$$anonfun$sbt$ComponentManager$$fromGlobal$1$1.apply(ComponentManager.scala:26)
        at sbt.ComponentManager$$anon$1.call(ComponentManager.scala:50)
        at xsbt.boot.Locks$GlobalLock.withLock(Locks.scala:48)
        at xsbt.boot.Locks$.apply0(Locks.scala:38)
        at xsbt.boot.Locks$.apply(Locks.scala:28)
        at sbt.ComponentManager.lock(ComponentManager.scala:50)
        at sbt.ComponentManager.lockGlobalCache(ComponentManager.scala:49)
        at sbt.ComponentManager.sbt$ComponentManager$$fromGlobal$1(ComponentManager.scala:25)
        at sbt.ComponentManager$$anonfun$files$1$$anonfun$apply$2.apply(ComponentManager.scala:44)
        at sbt.ComponentManager$$anonfun$files$1$$anonfun$apply$2.apply(ComponentManager.scala:44)
        at sbt.ComponentManager.sbt$ComponentManager$$getOrElse$1(ComponentManager.scala:32)
        at sbt.ComponentManager$$anonfun$files$1.apply(ComponentManager.scala:44)
        at sbt.ComponentManager$$anonfun$files$1.apply(ComponentManager.scala:44)
        at sbt.ComponentManager$$anon$1.call(ComponentManager.scala:50)
        at xsbt.boot.Locks$GlobalLock.withLock(Locks.scala:48)
        at xsbt.boot.Locks$.apply0(Locks.scala:38)
        at xsbt.boot.Locks$.apply(Locks.scala:28)
        at sbt.ComponentManager.lock(ComponentManager.scala:50)
        at sbt.ComponentManager.lockLocalCache(ComponentManager.scala:47)
        at sbt.ComponentManager.files(ComponentManager.scala:44)
        at sbt.compiler.ComponentCompiler$$anonfun$compileAndInstall$1.apply(ComponentCompiler.scala:61)
        at sbt.compiler.ComponentCompiler$$anonfun$compileAndInstall$1.apply(ComponentCompiler.scala:58)
        at sbt.IO$.withTemporaryDirectory(IO.scala:285)
        at sbt.compiler.ComponentCompiler.compileAndInstall(ComponentCompiler.scala:58)
        at sbt.compiler.ComponentCompiler$$anonfun$getLocallyCompiled$1.apply$mcV$sp(ComponentCompiler.scala:47)
        at sbt.IfMissing$Define.apply(ComponentManager.scala:77)
        at sbt.ComponentManager.sbt$ComponentManager$$createAndCache$1(ComponentManager.scala:39)
        at sbt.ComponentManager$$anonfun$sbt$ComponentManager$$fromGlobal$1$1.apply(ComponentManager.scala:27)
        at sbt.ComponentManager$$anonfun$sbt$ComponentManager$$fromGlobal$1$1.apply(ComponentManager.scala:26)
        at sbt.ComponentManager$$anon$1.call(ComponentManager.scala:50)
        at xsbt.boot.Locks$GlobalLock.withChannel$1(Locks.scala:98)
        at xsbt.boot.Locks$GlobalLock.xsbt$boot$Locks$GlobalLock$$withChannelRetries$1(Locks.scala:81)
        at xsbt.boot.Locks$GlobalLock$$anonfun$withFileLock$1.apply(Locks.scala:102)
        at xsbt.boot.Locks$GlobalLock$$anonfun$withFileLock$1.apply(Locks.scala:102)
        at xsbt.boot.Using$.withResource(Using.scala:11)
        at xsbt.boot.Using$.apply(Using.scala:10)
        at xsbt.boot.Locks$GlobalLock.withFileLock(Locks.scala:102)
        at xsbt.boot.Locks$GlobalLock.ignoringDeadlockAvoided(Locks.scala:62)
        at xsbt.boot.Locks$GlobalLock.withLock(Locks.scala:52)
        at xsbt.boot.Locks$.apply0(Locks.scala:38)
        at xsbt.boot.Locks$.apply(Locks.scala:28)
        at sbt.ComponentManager.lock(ComponentManager.scala:50)
        at sbt.ComponentManager.lockGlobalCache(ComponentManager.scala:49)
        at sbt.ComponentManager.sbt$ComponentManager$$fromGlobal$1(ComponentManager.scala:25)
        at sbt.ComponentManager$$anonfun$files$1$$anonfun$apply$2.apply(ComponentManager.scala:44)
        at sbt.ComponentManager$$anonfun$files$1$$anonfun$apply$2.apply(ComponentManager.scala:44)
        at sbt.ComponentManager.sbt$ComponentManager$$getOrElse$1(ComponentManager.scala:32)
        at sbt.ComponentManager$$anonfun$files$1.apply(ComponentManager.scala:44)
        at sbt.ComponentManager$$anonfun$files$1.apply(ComponentManager.scala:44)
        at sbt.ComponentManager$$anon$1.call(ComponentManager.scala:50)
        at xsbt.boot.Locks$GlobalLock.withChannel$1(Locks.scala:98)
        at xsbt.boot.Locks$GlobalLock.xsbt$boot$Locks$GlobalLock$$withChannelRetries$1(Locks.scala:81)
        at xsbt.boot.Locks$GlobalLock$$anonfun$withFileLock$1.apply(Locks.scala:102)
        at xsbt.boot.Locks$GlobalLock$$anonfun$withFileLock$1.apply(Locks.scala:102)
        at xsbt.boot.Using$.withResource(Using.scala:11)
        at xsbt.boot.Using$.apply(Using.scala:10)
        at xsbt.boot.Locks$GlobalLock.withFileLock(Locks.scala:102)
        at xsbt.boot.Locks$GlobalLock.ignoringDeadlockAvoided(Locks.scala:62)
        at xsbt.boot.Locks$GlobalLock.withLock(Locks.scala:52)
        at xsbt.boot.Locks$.apply0(Locks.scala:38)
        at xsbt.boot.Locks$.apply(Locks.scala:28)
        at sbt.ComponentManager.lock(ComponentManager.scala:50)
        at sbt.ComponentManager.lockLocalCache(ComponentManager.scala:47)
        at sbt.ComponentManager.files(ComponentManager.scala:44)
        at sbt.ComponentManager.file(ComponentManager.scala:53)
        at sbt.compiler.ComponentCompiler.getLocallyCompiled(ComponentCompiler.scala:47)
        at sbt.compiler.ComponentCompiler.apply(ComponentCompiler.scala:37)
        at sbt.compiler.ComponentCompiler$$anon$1.apply(ComponentCompiler.scala:25)
        at sbt.compiler.AnalyzingCompiler.loader(AnalyzingCompiler.scala:112)
        at sbt.compiler.AnalyzingCompiler.getInterfaceClass(AnalyzingCompiler.scala:117)
        at sbt.compiler.AnalyzingCompiler.call(AnalyzingCompiler.scala:99)
        at sbt.compiler.AnalyzingCompiler.newCachedCompiler(AnalyzingCompiler.scala:57)
        at sbt.compiler.AnalyzingCompiler.newCachedCompiler(AnalyzingCompiler.scala:52)
        at sbt.compiler.CompilerCache$$anon$2.apply(CompilerCache.scala:50)
        at sbt.compiler.AnalyzingCompiler.compile(AnalyzingCompiler.scala:39)
        at sbt.compiler.AggressiveCompile$$anonfun$3$$anonfun$compileScala$1$1.apply$mcV$sp(AggressiveCompile.scala:98)
        at sbt.compiler.AggressiveCompile$$anonfun$3$$anonfun$compileScala$1$1.apply(AggressiveCompile.scala:98)
        at sbt.compiler.AggressiveCompile$$anonfun$3$$anonfun$compileScala$1$1.apply(AggressiveCompile.scala:98)
        at sbt.compiler.AggressiveCompile.sbt$compiler$AggressiveCompile$$timed(AggressiveCompile.scala:159)
        at sbt.compiler.AggressiveCompile$$anonfun$3.compileScala$1(AggressiveCompile.scala:97)
        at sbt.compiler.AggressiveCompile$$anonfun$3.apply(AggressiveCompile.scala:142)
        at sbt.compiler.AggressiveCompile$$anonfun$3.apply(AggressiveCompile.scala:86)
        at sbt.inc.IncrementalCompile$$anonfun$doCompile$1.apply(Compile.scala:38)
        at sbt.inc.IncrementalCompile$$anonfun$doCompile$1.apply(Compile.scala:36)
        at sbt.inc.Incremental$.cycle(Incremental.scala:73)
        at sbt.inc.Incremental$$anonfun$1.apply(Incremental.scala:33)
        at sbt.inc.Incremental$$anonfun$1.apply(Incremental.scala:32)
        at sbt.inc.Incremental$.manageClassfiles(Incremental.scala:41)
        at sbt.inc.Incremental$.compile(Incremental.scala:32)
        at sbt.inc.IncrementalCompile$.apply(Compile.scala:26)
        at sbt.compiler.AggressiveCompile.compile2(AggressiveCompile.scala:150)
        at sbt.compiler.AggressiveCompile.compile1(AggressiveCompile.scala:70)
        at sbt.compiler.AggressiveCompile.apply(AggressiveCompile.scala:45)
        at sbt.Compiler$.apply(Compiler.scala:70)
        at sbt.Defaults$.sbt$Defaults$$compileTaskImpl(Defaults.scala:736)
        at sbt.Defaults$$anonfun$compileTask$1.apply(Defaults.scala:730)
        at sbt.Defaults$$anonfun$compileTask$1.apply(Defaults.scala:730)
        at scala.Function1$$anonfun$compose$1.apply(Function1.scala:47)
        at sbt.$tilde$greater$$anonfun$$u2219$1.apply(TypeFunctions.scala:42)
        at sbt.std.Transform$$anon$4.work(System.scala:64)
        at sbt.Execute$$anonfun$submit$1$$anonfun$apply$1.apply(Execute.scala:237)
        at sbt.Execute$$anonfun$submit$1$$anonfun$apply$1.apply(Execute.scala:237)
        at sbt.ErrorHandling$.wideConvert(ErrorHandling.scala:18)
        at sbt.Execute.work(Execute.scala:244)
        at sbt.Execute$$anonfun$submit$1.apply(Execute.scala:237)
        at sbt.Execute$$anonfun$submit$1.apply(Execute.scala:237)
        at sbt.ConcurrentRestrictions$$anon$4$$anonfun$1.apply(ConcurrentRestrictions.scala:160)
        at sbt.CompletionService$$anon$2.call(CompletionService.scala:30)
        at java.util.concurrent.FutureTask.run(FutureTask.java:266)
        at java.util.concurrent.Executors$RunnableAdapter.call(Executors.java:511)
        at java.util.concurrent.FutureTask.run(FutureTask.java:266)
        at java.util.concurrent.ThreadPoolExecutor.runWorker(ThreadPoolExecutor.java:1149)
        at java.util.concurrent.ThreadPoolExecutor$Worker.run(ThreadPoolExecutor.java:624)
        at java.lang.Thread.run(Thread.java:748)
[error] (compile:compile) sbt.InvalidComponent: Could not find required component 'compiler-interface-src'
[error] Total time: 0 s, completed 2017/12/20 8:38:54
[sakurai@oak chisel-tutorial]$ 

Command such as 'sbt -d -v clean run' gives me the same result.

ucbjrl commented 6 years ago

Googling again indicates this may be a Fedora problem.

mocapapa commented 6 years ago

Googling again indicates this may be a Fedora problem.

Thank you for your information! I am going to investigate the URL that you gave me.