verilog-to-routing / vtr-verilog-to-routing

Verilog to Routing -- Open Source CAD Flow for FPGA Research
https://verilogtorouting.org
Other
982 stars 379 forks source link

Odin Verilog support #848

Open MohamedElgammal opened 4 years ago

MohamedElgammal commented 4 years ago

There are many unsupported constructs in Verilog that I faced while trying to run a verilog design through Odin. I have created a micro testcase for each of them and they can be found at: https://github.com/MohamedElgammal/Odin-issues

Steps to Reproduce

  1. clone the repo
  2. cd into any issue directory, you will find a readme that explains the issue and a micro design to reproduce it
  3. run odin with the attached config file

Context

It errors out in some syntax that is supported in verilog LRM.

Your Environment

jeanlego commented 4 years ago

Thanks for this!

We are actively refactoring a lot of core components of Odin and we can definitely use more micro-test cases! Would you be ok with us including those in our regression suite?

MohamedElgammal commented 4 years ago

Sure you can.

jeanlego commented 4 years ago

Thank you! We will raise these as individual issue and reference this issue so you can track the development.

jeanlego commented 4 years ago

@msohrabi2019 can you verify that the issues are still there? And if so please raise new issue for each missing keyword or feature with a new verilog file to exercise the missing feature.

When creating the issue please refer to this issue so that it is easier to track. @MohamedElgammal was kind enough to let us use his verilog files, so you could inspire yourself from these for yours.

Thanks again @MohamedElgammal

jeanlego commented 4 years ago

@Shreyv could you have a look at the issues referenced? #977 is one of the thing you are currently working on

Shreyv commented 4 years ago

@jeanlego sure

jeanlego commented 4 years ago

@djns99 there are other linked issue here that you can start looking at @Shreyv can you create new issues from the linked verilog file from the OP. once done, can you start working through them.

@djns99 and @Shreyv the workflow should look like:

@sdamghan can you do the first review on @djns99 and @Shreyv PR's once they submit them. Also @sdamghan can you have a look at the benchmark suite? Some new change now displays known to fail benchmark that should pass as part of Verilog 2005, could you please create issues for benchmarks that show failing but are expected to pass, once done you can work on these issues alongside of @djns99 and @Shreyv.

Shreyv commented 4 years ago

@jeanlego Sure I will work on it

sdamghan commented 4 years ago

@jeanlego Sure, I'll handle it!