veripool / verilog-mode

Verilog-Mode for Emacs with Indentation, Hightlighting and AUTOs. Master repository for pushing to GNU, verilog.com and veripool.org.
http://veripool.org/verilog-mode
GNU General Public License v3.0
259 stars 90 forks source link

Port list is not aligned properly when the first port declaration is not start from a new line #1167

Closed veripoolbot closed 2 years ago

veripoolbot commented 7 years ago

Author Name: Enzo Chi Original Redmine Issue: 1167 from https://www.veripool.org


Found a issue of align the port list: (verilog-auto-lineup 'all)

When the first port declaration right after "(" as example below, the port name is not aligned correctly.

Port "sel" and the rest are not aligned.

module indent_case(input bit [1:0] sel,
                    input byte  a,
                    input byte  b,
                    input byte  c,
                    input byte  d,
                    output byte dout
                    );

Expect to be

module indent_case(input bit [1:0] sel,
                    input byte      a,
                    input byte      b,
                    input byte      c,
                    input byte      d,
                    output byte     dout
                    );

If "sel" declaration start from a new line, it works

module indent_case(
                    input bit [1:0] sel,
                    input byte      a,
                    input byte      b,
                    input byte      c,
                    input byte      d,
                    output byte     dout
                    );
veripoolbot commented 6 years ago

Original Redmine Comment Author Name: Wilson Snyder (@wsnyder) Original Date: 2017-11-19T13:38:15Z


AFAIK still a problem, perhaps someone would like to contribute a patch?