veripool / verilog-mode

Verilog-Mode for Emacs with Indentation, Hightlighting and AUTOs. Master repository for pushing to GNU, verilog.com and veripool.org.
http://veripool.org/verilog-mode
GNU General Public License v3.0
253 stars 90 forks source link

bad autocomment #1259

Closed veripoolbot closed 6 years ago

veripoolbot commented 6 years ago

Author Name: Andrea Fedeli Original Redmine Issue: 1259 from https://www.veripool.org

Original Assignee: Wilson Snyder (@wsnyder)


When a class contains a variable whose name contains the word "class" this apparently confuses the autocomment procedure.

e.g.:

class trial_good; // this works because the variable class_name has been renamed clazz_name string clazz_name="trial_good"; function void f(); endfunction // f endclass // trial_good

class trial_bad; // this fools the autocommenter, that ends reporting "function" as class name string class_name="trial_bad"; function void f(); endfunction // f endclass // function

veripoolbot commented 6 years ago

Original Redmine Comment Author Name: Wilson Snyder (@wsnyder) Original Date: 2018-01-17T00:29:39Z


Indeed, thanks for the report.

Fixed in git and verilog-mode-2018-01-16-c579c46-vpo.el.gz