veripool / verilog-mode

Verilog-Mode for Emacs with Indentation, Hightlighting and AUTOs. Master repository for pushing to GNU, verilog.com and veripool.org.
http://veripool.org/verilog-mode
GNU General Public License v3.0
247 stars 90 forks source link

AUTOINPUT can not create signal with nettype #1754

Open kopinions opened 2 years ago

kopinions commented 2 years ago

Code snippet like below /*AUTOINPUT*/

generated like below

input a;
input [7:0] b;

the setting is below

verilog-auto-declare-nettype="wire"
verilog-auto-wire-type="wire"

after some investgation, it seems that when I set the auto-wire-type, the declare nettype is not work

wsnyder commented 2 years ago

verilog-auto-wire-type is only for AUTOLOGIC/AUTOWIRE. verilog-auto-declare-nettype should work though, see this example: https://github.com/veripool/verilog-mode/blob/master/tests/autoinput_none.v