veripool / verilog-mode

Verilog-Mode for Emacs with Indentation, Hightlighting and AUTOs. Master repository for pushing to GNU, verilog.com and veripool.org.
http://veripool.org/verilog-mode
GNU General Public License v3.0
256 stars 90 forks source link

Fix indentation after interface class (bug #1047) #1768

Closed gmlarumbe closed 2 years ago

gmlarumbe commented 2 years ago

This PR fixes #1047.

Changes allow correct detection of interface and virtual classes for verilog-forward-sexp and verilog-backward-sexp functions.

It also fixes the end comments indentation of test indent_reftype.v caused by the wrong detection of matching class/endclass due to the presence of a typedef class definition inside the class.