veripool / verilog-mode

Verilog-Mode for Emacs with Indentation, Hightlighting and AUTOs. Master repository for pushing to GNU, verilog.com and veripool.org.
http://veripool.org/verilog-mode
GNU General Public License v3.0
247 stars 90 forks source link

Wrong alignment of custom type ports #1773

Closed gmlarumbe closed 2 years ago

gmlarumbe commented 2 years ago

Considering the following snippet:

module foo (input logic [7:0] in1,
input logic in2,
custom_type type1,
output logic out,
custom_type type2
);
endmodule

It aligns wrongly after applying verilog-pretty-declarations:

module foo (input logic [7:0] in1,
        input logic       in2,
                  custom_type type1,
        output logic      out,
                  custom_type type2
);

The commit 91b5f59 has a workaround that prevents these custom types (word + whitespace + word) from getting aligned.

@wsnyder is it fine if I merge it? Would this be the expected behaviour?

Thanks!

wsnyder commented 2 years ago

Seems and improvement, go for it.