veripool / verilog-mode

Verilog-Mode for Emacs with Indentation, Hightlighting and AUTOs. Master repository for pushing to GNU, verilog.com and veripool.org.
http://veripool.org/verilog-mode
GNU General Public License v3.0
247 stars 90 forks source link

Fix bug in alignment of expressions #1797

Closed gmlarumbe closed 1 year ago

gmlarumbe commented 1 year ago

Hi,

This PR prevents verilog-pretty-expr from aligning lines that do not contain statements that can be aligned (e.g. continuous assignments when verilog-align-assign-expr is nil).

Almost all the changes revert the test_ok/* tests alignment made by verilog-pretty-expr on else and assign statements.

Thanks!