veripool / verilog-perl

Verilog parser, preprocessor, and related tools for the Verilog-Perl package
https://www.veripool.org/verilog-perl
Artistic License 2.0
110 stars 32 forks source link

Out of Memory Error during install #8

Closed veripoolbot closed 16 years ago

veripoolbot commented 16 years ago

Author Name: Steve Hobbs Original Redmine Issue: 8 from https://www.veripool.org Original Date: 2008-05-09


I'm sure this is likely a setup issue on my end, however most other tools have compiled just fine on this box. Some of the tools are slightly old, but I thought they should be OK.

1. uname -a
Linux williams 2.6.9-22.0.1.ELsmp #1 SMP Tue Oct 18 18:39:27 EDT 2005 i686 i686 i386 GNU/Linux

with:

perl 5.8.7
g++ 3.4.4
bison 1.875c

I've included the log, let me know if I need send anything else. BTW, tried this with 3.035 as well and it reported the same error.

Looked for similar out of memory error messages on the website, but nothing jumped at me.

Any thoughts?

Re. Steve


Here's the log from the make process:

[root@williams cpan]# tar xf Verilog-Perl-3.024.tar.gz 
[root@williams cpan]# cd Verilog-Perl-3.024
[root@williams Verilog-Perl-3.024]# perl Makefile.PL 
Checking if your kit is complete...
Looks good
Writing Makefile for Verilog::Parser
Writing Makefile for Verilog::Preproc::lib
Writing Makefile for Verilog::Preproc
Writing Makefile for Verilog::Language
[root@williams Verilog-Perl-3.024]# make
cp Netlist/Cell.pm blib/lib/Verilog/Netlist/Cell.pm
cp Netlist/Net.pm blib/lib/Verilog/Netlist/Net.pm
cp Getopt.pm blib/lib/Verilog/Getopt.pm
cp Netlist/Port.pm blib/lib/Verilog/Netlist/Port.pm
cp Verilog-Perl.pod blib/lib/Verilog/Verilog-Perl.pod
cp Language.pm blib/lib/Verilog/Language.pm
cp Netlist/Pin.pm blib/lib/Verilog/Netlist/Pin.pm
cp Netlist.pm blib/lib/Verilog/Netlist.pm
cp Netlist/File.pm blib/lib/Verilog/Netlist/File.pm
cp Netlist/Subclass.pm blib/lib/Verilog/Netlist/Subclass.pm
cp Netlist/Module.pm blib/lib/Verilog/Netlist/Module.pm
cp EditFiles.pm blib/lib/Verilog/EditFiles.pm
make[1]: Entering directory `/usr/local/src/cpan/Verilog-Perl-3.024/Parser'
cp Parser.pm ../blib/lib/Verilog/Parser.pm
cp SigParser.pm ../blib/lib/Verilog/SigParser.pm
/usr/local/stow_pkgs/perl-5.8.7/bin/perl /usr/local/lib/perl5/5.8.7/ExtUtils/xsubpp  -C++ -typemap /usr/local/lib/perl5/5.8.7/ExtUtils/typemap -typemap typemap  Parser.xs > Parser.xsc && mv Parser.xsc Parser.c
g++ -c  -I. -Isrc -D_REENTRANT -D_GNU_SOURCE -DTHREADS_HAVE_PIDS -fno-strict-aliasing -pipe -I/usr/local/include -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -I/usr/include/gdbm -I../Preproc/src -O2   -DVERSION=\"3.024\" -DXS_VERSION=\"3.024\" -fpic "-I/usr/local/lib/perl5/5.8.7/i686-linux-thread-multi/CORE"   Parser.c
g++ -c  -I. -Isrc -D_REENTRANT -D_GNU_SOURCE -DTHREADS_HAVE_PIDS -fno-strict-aliasing -pipe -I/usr/local/include -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -I/usr/include/gdbm -I../Preproc/src -O2   -DVERSION=\"3.024\" -DXS_VERSION=\"3.024\" -fpic "-I/usr/local/lib/perl5/5.8.7/i686-linux-thread-multi/CORE"   ../Preproc/src/VFileLine.cpp
flex -d -oVParseLex_prefix.cpp VParseLex.l
/usr/local/stow_pkgs/perl-5.8.7/bin/perl ../Preproc/src/flexfix < VParseLex_prefix.cpp > VParseLex.cpp
rm -rf VParseBison.c VParseBison.cpp
If the next command fails, you probably need to install Bison 1.875 or newer
bison -d -v --debug --verbose -d -k VParseBison.y -pVParseBison -o VParseBison.c
(grep conflicts VParseBison.output || mv VParseBison.c VParseBison.cpp )
rm -rf VParseBison.c
g++ -c  -I. -Isrc -D_REENTRANT -D_GNU_SOURCE -DTHREADS_HAVE_PIDS -fno-strict-aliasing -pipe -I/usr/local/include -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -I/usr/include/gdbm -I../Preproc/src -O2   -DVERSION=\"3.024\" -DXS_VERSION=\"3.024\" -fpic "-I/usr/local/lib/perl5/5.8.7/i686-linux-thread-multi/CORE"   VParseLex.cpp

cc1plus: out of memory allocating 2784370176 bytes after a total of 17145856 bytes
make[1]: *** [VParseLex.o] Error 1
make[1]: Leaving directory `/usr/local/src/cpan/Verilog-Perl-3.024/Parser'
make: *** [subdirs] Error 2
[root@williams Verilog-Perl-3.024]# 
veripoolbot commented 16 years ago

Original Redmine Comment Author Name: Wilson Snyder (@wsnyder) Original Date: 2008-05-28T17:05:21Z


Sorry for the delay, was on vacation.

Seems like a g++ bug. Can you try it with the optimizer turned off? Try it with:

cd Parser g++ -c -I. -Isrc -D_REENTRANT -D_GNU_SOURCE -DTHREADS_HAVE_PIDS -fno-strict-aliasing -pipe -I/usr/local/include -D_LARGEFILE_SOURCE -D_FILE_OFFSET_BITS=64 -I/usr/include/gdbm -I../Preproc/src -O -DVERSION=\"3.024\" -DXS_VERSION=\"3.024\" -fpic "-I/usr/local/lib/perl5/5.8.7/i686-linux-thread-multi/CORE" VParseLex.cpp

IE the same command as failed, but with -O2 changed to -O.

If it works, you can then "make" in the directory above.

veripoolbot commented 16 years ago

Original Redmine Comment Author Name: Wilson Snyder (@wsnyder) Original Date: 2008-06-03T16:51:33Z


From: "Steve Hobbs" Subject: Re: [Verilog-Perl - Issue #8] (AskedReporter) Out of Memory Error during install

Yup, that seems to have fixed it. We're rebuilding that machine shortly, perhaps a newer version of g++ might have less of an issue.