vhda / verilog_systemverilog.vim

Verilog/SystemVerilog Syntax and Omni-completion
359 stars 86 forks source link

Doc: Improve folding documentation #217

Closed vhda closed 1 year ago

vhda commented 1 year ago

Related with comment in #146. Should also fix #214.