vhda / verilog_systemverilog.vim

Verilog/SystemVerilog Syntax and Omni-completion
359 stars 86 forks source link

Folding works on some files #235

Open gyh1997127 opened 5 months ago

gyh1997127 commented 5 months ago

Hi, I'm noticing that the syntax folding on works on some of my files. Can you please advise how to go about debugging this? Example: image image

Apologies for not being able to include unblurred examples, but you can see the folding works on one file but not the other.

vhda commented 5 months ago

Hi @gyh1997127, Could I kindly ask you to check if folding works after removing the `uvm_object_utils() line? It is possible that the missing ; is breaking a basic end-of-expression marking that makes it really hard sometimes to cover in syntax expressions.

gyh1997127 commented 5 months ago

I didn't see any changes after removing uvm_object_utils(). I don't think it's the culprit - this statement is also present in the first screenshot on line 15

vhda commented 5 months ago

Could I kindly ask you to try to replicate the problem using a smaller test case? It's really difficult to understand what is happening without the complete context.