viktor-nikolov / MicroBlaze-DDR3-tutorial

Tutorial of a HW design of MicroBlaze using DDR3 RAM on Arty A7 board; DDR3 RAM speed test application
BSD 2-Clause "Simplified" License
22 stars 3 forks source link

Errors produced after running implementation. Should I ignore? #3

Closed Aaronyap2002 closed 3 months ago

Aaronyap2002 commented 3 months ago

The following message is generated after I finish running "implementation", and causes me to unable to generate bitstream. I dont know how to read these message. I have already set main clock clk_wiz_0.clk_out1 to 100 MHz as mentioned

design_1
General Messages
[IP_Flow 19-1747] Failed to deliver file 's:/Xilinx/Vivado/2023.1/data/ip/xilinx/mig_7series_v4_2/xit/synthesis.xit': error renaming "s:/Xilinx/Projects/matrix_multiplication_engine/matrix_multiplication_engine.gen/sources_1/bd/design_1/ip/design_1_mig_7series_0_4/_tmp/design_1_mig_7series_0_4" to "s:/Xilinx/Projects/matrix_multiplication_engine/matrix_multiplication_engine.gen/sources_1/bd/design_1/ip/design_1_mig_7series_0_4/design_1_mig_7series_0_4": permission denied

[IP_Flow 19-167] Failed to deliver one or more file(s).

[IP_Flow 19-3505] IP Generation error: Failed to generate IP 'mig_7series_0'. Failed to generate 'Synthesis' outputs: 

[IP_Flow 19-98] Generation of the IP CORE failed.
Failed to generate IP 'mig_7series_0'. Failed to generate 'Synthesis' outputs: 

[BD 41-1030] Generation failed for the IP Integrator block mig_7series_0 

[Vivado 12-4756] Launch of runs aborted due to earlier errors while preparing sub-designs for run execution.

synth_1
General Messages
[Netlist 29-160] Cannot set property 'IOSTANDARD', because the property does not exist for objects of type 'pin'. ["s:/Xilinx/Projects/matrix_multiplication_engine/matrix_multiplication_engine.gen/sources_1/bd/design_1/ip/design_1_mig_7series_0_4/design_1_mig_7series_0_4/user_design/constraints/design_1_mig_7series_0_4.xdc":275]

[Common 17-55] 'set_property' expects at least one object. ["S:/Xilinx/Projects/matrix_multiplication_engine/matrix_multiplication_engine.srcs/constrs_1/imports/Downloads/Arty-A7-100-Master.xdc":138]

Implementation
Design Initialization
[Netlist 29-160] Cannot set property 'IOSTANDARD', because the property does not exist for objects of type 'pin'. ["s:/Xilinx/Projects/matrix_multiplication_engine/matrix_multiplication_engine.gen/sources_1/bd/design_1/ip/design_1_mig_7series_0_4/design_1_mig_7series_0_4/user_design/constraints/design_1_mig_7series_0_4.xdc":275]

[Common 17-55] 'set_property' expects at least one object. ["S:/Xilinx/Projects/matrix_multiplication_engine/matrix_multiplication_engine.srcs/constrs_1/imports/Downloads/Arty-A7-100-Master.xdc":138]

Write Bitstream
DRC
Pin Planning
[DRC NSTD-1] Unspecified I/O Standard: 1 out of 53 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standards. This design will fail to generate a bitstream unless all logical ports have a user specified I/O standard value defined. To allow bitstream creation with unspecified I/O standard values (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks NSTD-1].  NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: clk_a0[0].

[DRC UCIO-1] Unconstrained Logical Port: 1 out of 53 logical ports have no user assigned specific location constraint (LOC). This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all pin locations. This design will fail to generate a bitstream unless all logical ports have a user specified site LOC constraint defined.  To allow bitstream creation with unspecified pin locations (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks UCIO-1].  NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run.  Problem ports: clk_a0[0].

Implementation
Routing
  Routing Resources
   Backbone
     [DRC RTRES-1] Backbone resources: 1 net(s) have CLOCK_DEDICATED_ROUTE set to BACKBONE but do not use backbone resources. The problem net(s) are design_1_i/util_ds_buf_0/U0/BUFG_O[0].

[Vivado 12-1345] Error(s) found during DRC. Bitgen not run.
Aaronyap2002 commented 3 months ago

I realised. I didnt see my input close as no buffer. Sorry