vmunoz82 / eda_tools

A Dockerfile with a collections of ready to use open source EDA tools: Yosys, SimbiYosys (with Z3, boolector and Yices2), nextpnr-ice40, netxpnr-ecp5, nextpnr-gowin, Amaranth HDL, Silice and Verilator.
MIT License
39 stars 6 forks source link

Consider adding gnu make #1

Closed RobertBaruch closed 3 years ago

RobertBaruch commented 3 years ago

I find Makefiles convenient when I have to verify a complex circuit in parts. Adding gnu make to the docker image would be a big help!

vmunoz82 commented 3 years ago

Commited and pushed to hub.docker.com