vmunoz82 / eda_tools

A Dockerfile with a collections of ready to use open source EDA tools: Yosys, SimbiYosys (with Z3, boolector and Yices2), nextpnr-ice40, netxpnr-ecp5, nextpnr-gowin, Amaranth HDL, Silice and Verilator.
MIT License
39 stars 6 forks source link

Would this work for Tang Nano 9K FPGA? #3

Open louis030195 opened 8 months ago

louis030195 commented 8 months ago

I'm trying to do some dev with this FPGA but Gowin seems not to run on Mac, was wondering if I could use this Docker for it?

https://wiki.sipeed.com/hardware/en/tang/Tang-Nano-9K/Nano-9K.html

vmunoz82 commented 8 months ago

Yes! it does work with Tang Nano 9K, I have myself that board too, but notice that Apicula is not at the level that the oficial Gowin tools, and sometimes the design synthesize, but don't work as expected, anyway Apicula gets nice improvements with the time.