vmware-archive / cascade

A Just-In-Time Compiler for Verilog from VMware Research
Other
433 stars 44 forks source link

typechecker complains if array appears in sensitivity list #193

Closed eschkufz closed 4 years ago

eschkufz commented 4 years ago

Overview

This is reported as an error even though it isn't:

reg x[1:0][1:0];
always @(x) begin
  // do stuff
end

Deliverables