wcq062821 / blog_data

0 stars 0 forks source link

p/iverilog--gtkwave-%E7%AE%80%E5%8D%95%E4%BD%BF%E7%94%A8/ #5

Open utterances-bot opened 1 year ago

utterances-bot commented 1 year ago

iverilog + gtkwave 简单使用

由于 iverilog + gtkwave 开源小巧, 因此, 在小工程里用这个组合还是很不错的 Windows安装 iverilog 到 Icarus Verilog for Windows 下载安装 这里安装的iverilog 还内置了GTKWa

https://www.skfwe.cn/p/iverilog--gtkwave-%E7%AE%80%E5%8D%95%E4%BD%BF%E7%94%A8/

guojt10 commented 1 year ago

rtl 的第31行 作如下修改就更好了

    initial begin
        $dumpfile("test_counter.vcd");
        $dumpvars(0, test_counter); // dump所有信号波形
    end
wcq062821 commented 1 year ago

已修改, 感谢指正。