williaml33moore / bathtub

BDD Gherkin implementation in native SystemVerilog, based on UVM.
https://bathtubBDD.dev
MIT License
2 stars 0 forks source link

Create a reference wiki page for feature files #102

Open williaml33moore opened 1 month ago

williaml33moore commented 1 month ago

Perhaps provide Cucumber-style AST, links to Cucumber, list of supported keywords.