williaml33moore / bathtub

BDD Gherkin implementation in native SystemVerilog, based on UVM.
https://bathtubBDD.dev
MIT License
2 stars 0 forks source link

Create reference wiki page for step definition macros #104

Closed williaml33moore closed 2 weeks ago

williaml33moore commented 1 month ago

Located in bathub_macros.sv

williaml33moore commented 2 weeks ago

Closed as of wiki (not bathtub) commit f6d141087aada6d120f6afe84ee10ffb05bad28a. Made these changes directly to the wiki; Bathtub source was not affected.