williaml33moore / bathtub

BDD Gherkin implementation in native SystemVerilog, based on UVM.
https://bathtubBDD.dev
MIT License
2 stars 0 forks source link

Print a small sample step definition for missing steps #87

Closed williaml33moore closed 2 months ago

williaml33moore commented 2 months ago

Closes Issue #8