williaml33moore / bathtub

BDD Gherkin implementation in native SystemVerilog, based on UVM.
https://bathtubBDD.dev
MIT License
2 stars 0 forks source link

Refactor gherkin classes to make them immutable #89

Closed williaml33moore closed 1 month ago

williaml33moore commented 1 month ago

Closes Issue #11