wzab / agwb

Support for automatic address map generation and address decoding logic for Wishbone connected hierachical systems
12 stars 6 forks source link

Add constants with register addresses to _wb_pkg.vhd files. #21

Closed m-kru closed 5 years ago