zachjs / sv2v

SystemVerilog to Verilog conversion
BSD 3-Clause "New" or "Revised" License
540 stars 52 forks source link

Parser failed on @( * ) #167

Closed stitchlibar closed 3 years ago

stitchlibar commented 3 years ago

Seems it doesn't like space in @( ). It has to be @() to pass the parser.

zachjs commented 3 years ago

Thank you for finding and reporting this issue! This should be fixed as of cd45696acec5ac6ed9db4ea4d33965f28cf12f22.

stitchlibar commented 3 years ago

Verified.