zachjs / sv2v

SystemVerilog to Verilog conversion
BSD 3-Clause "New" or "Revised" License
497 stars 50 forks source link

newest iverilog crashes when running tests #242

Closed dwRchyngqxs closed 1 year ago

dwRchyngqxs commented 1 year ago

make test fails: There are warnings as described in #241 and some tests fails (the standard output log).

zachjs commented 1 year ago

I pushed e1948689dd6d27a2bf396e48ddc88e2db8fb170e to a temporary branch upgrade-iverilog. It should address the incompatibilities with newer versions of iverilog. At least one test still fails with the latest version of iverilog due to https://github.com/steveicarus/iverilog/issues/927. Does this work for you?

dwRchyngqxs commented 1 year ago

I used the iverilog commit used by CI both before the change and after and both work. Maybe a sentence about iverilog verision might be worth adding in the README. I guess this issue will be solved when the one in iverilog will.

zachjs commented 1 year ago

I merged these changes into master and removed the temporary branch. I will update the title to reflect the remaining work: I should bump the iverilog version further once crash is fixed.

zachjs commented 1 year ago

The iverilog issue is now fixed. I have bumped the iverilog version and confirmed all tests pass. Thank you for pointing this issue out to me!