zachjs / sv2v

SystemVerilog to Verilog conversion
BSD 3-Clause "New" or "Revised" License
497 stars 50 forks source link

Array literals flow into Verilog unchanged #260

Open YikeZhou opened 7 months ago

YikeZhou commented 7 months ago

Edit: Could it be because the feature is not synthesizable (all the time)? Apologize if this is a "won't fix".

Input:

module top(output logic[7:0] o);
   assign o = '{0:1, 3:1, 7:1, default:0};
endmodule

Output:

module top (o);
        output wire [7:0] o;
        assign o = '{
                0: 1,
                3: 1,
                7: 1,
                default: 0
        };
endmodule